메뉴 건너뛰기




Volumn , Issue , 2012, Pages 111-120

CVP: An energy-efficient indirect branch prediction with compiler-guided value pattern

Author keywords

Compiler guided value pattern; Indirect branch prediction

Indexed keywords

COMPILER-GUIDED VALUE PATTERN; DEEP PIPELINES; ENERGY EFFICIENT; FUNCTION POINTERS; HARDWARE SUPPORTS; HIGH PERFORMANCE PROCESSORS; HIGH-LEVEL PROGRAM; INDIRECT BRANCH; LONG MEMORIES; MODERN PROCESSORS; PERFORMANCE IMPROVEMENTS; PREDICTION ACCURACY; RUNTIMES; SWITCH-CASE; VIRTUAL FUNCTIONS;

EID: 84864031897     PISSN: None     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1145/2304576.2304593     Document Type: Conference Paper
Times cited : (6)

References (35)
  • 1
    • 77954489393 scopus 로고    scopus 로고
    • EXACT: Explicit dynamic-branch prediction with active updates
    • M. Al-Otoom, E. Forbes, and E. Rotenberg. EXACT: Explicit dynamic-branch prediction with active updates. In CF'10, pages 165-176, 2010.
    • (2010) CF'10 , pp. 165-176
    • Al-Otoom, M.1    Forbes, E.2    Rotenberg, E.3
  • 2
    • 0036469652 scopus 로고    scopus 로고
    • SimpleScalar: An infrastructure for computer system modeling
    • T. Austin, E. Larson, and D. Ernst. SimpleScalar: an infrastructure for computer system modeling. IEEE Computer, 35(2):59-67, 2002.
    • (2002) IEEE Computer , vol.35 , Issue.2 , pp. 59-67
    • Austin, T.1    Larson, E.2    Ernst, D.3
  • 3
    • 84976656897 scopus 로고
    • Global instruction scheduling for superscalar machines
    • D. Bernslein and M. Rodeh. Global instruction scheduling for superscalar machines. In PLDI'91, pages 241-255, 1991.
    • (1991) PLDI'91 , pp. 241-255
    • Bernslein, D.1    Rodeh, M.2
  • 5
    • 0030651783 scopus 로고    scopus 로고
    • Target prediction for indirect jumps
    • P.-Y. Chang, E. Hao, and Y. N. Patt. Target prediction for indirect jumps. In ISCA-24, pages 274-283, 1997.
    • (1997) ISCA-24 , pp. 274-283
    • Chang, P.-Y.1    Hao, E.2    Patt, Y.N.3
  • 6
    • 77952568625 scopus 로고    scopus 로고
    • Dynamic data dependence tracking and its application to branch prediction
    • L. Chen, S. Dropsho, and D. H. Albonesi. Dynamic data dependence tracking and its application to branch prediction. In HPCA-9, pages 65-76, 2003.
    • (2003) HPCA-9 , pp. 65-76
    • Chen, L.1    Dropsho, S.2    Albonesi, D.H.3
  • 7
    • 0032312775 scopus 로고    scopus 로고
    • The cascaded predictor: Economical and adaptive branch target prediction
    • K. Driesen and U. Holzle. The cascaded predictor: Economical and adaptive branch target prediction. In MICRO-31, pages 249 - 258, 1998.
    • (1998) MICRO-31 , pp. 249-258
    • Driesen, K.1    Holzle, U.2
  • 10
    • 0038378155 scopus 로고    scopus 로고
    • Optimizing indirect branch prediction accuracy in virtual machine interpreters
    • M. A. Ertl and G. David. Optimizing indirect branch prediction accuracy in virtual machine interpreters. In PLDI'03, pages 278-288, 2003.
    • (2003) PLDI'03 , pp. 278-288
    • Ertl, M.A.1    David, G.2
  • 11
    • 77952559260 scopus 로고    scopus 로고
    • Value based BTB indexing for indirect jump prediction
    • M. U. Farooq, L. Chen, and L. K. John. Value Based BTB Indexing for indirect jump prediction. In HPCA-16, pages 1-11, 2010.
    • (2010) HPCA-16 , pp. 1-11
    • Farooq, M.U.1    Chen, L.2    John, L.K.3
  • 12
    • 57749186684 scopus 로고    scopus 로고
    • Address-branch correlation: A novel locality for long-latency hard-to-predict branches
    • H. Gao, Y. Ma, M. Dimitrov, and H. Zhou. Address-Branch Correlation: A novel locality for long-latency hard-to-predict branches. In HPCA-14, pages 74 - 85, 2008.
    • (2008) HPCA-14 , pp. 74-85
    • Gao, H.1    Ma, Y.2    Dimitrov, M.3    Zhou, H.4
  • 15
    • 0033363066 scopus 로고    scopus 로고
    • Control-flow speculation through value prediction for superscalar processors
    • J. Gonzalez and A. Gonzalez. Control-flow speculation through value prediction for superscalar processors. In PACT'99, pages 2-10, 1999.
    • (1999) PACT'99 , pp. 2-10
    • Gonzalez, J.1    Gonzalez, A.2
  • 17
    • 33748871677 scopus 로고    scopus 로고
    • Software-hardware cooperative memory disambiguation
    • R. Huang, A. Garg, and M. Huang. Software-hardware cooperative memory disambiguation. In HPCA-12, pages 244-253, 2006.
    • (2006) HPCA-12 , pp. 244-253
    • Huang, R.1    Garg, A.2    Huang, M.3
  • 19
    • 0026156263 scopus 로고
    • Branch history table prediction of moving target branches due to subroutine returns
    • D. R. Kaeli and P. G. Emma. Branch history table prediction of moving target branches due to subroutine returns. In ISCA-18, pages 34-42, 1991.
    • (1991) ISCA-18 , pp. 34-42
    • Kaeli, D.R.1    Emma, P.G.2
  • 20
    • 0032320637 scopus 로고    scopus 로고
    • Predicting indirect branches via data compression
    • J. Kalamatianos and D. R. Kaeli. Predicting indirect branches via data compression. In MICRO-31, pages 272-281, 1998.
    • (1998) MICRO-31 , pp. 272-281
    • Kalamatianos, J.1    Kaeli, D.R.2
  • 21
    • 35348819332 scopus 로고    scopus 로고
    • VPC prediction: Reducing the cost of indirect branches via hardware-based dynamic devirtualization
    • DOI 10.1145/1250662.1250715, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings
    • H. Kim, J. A. Joao, O. Mutlu, C. J. Lee, Y. N. Patt, and R. Cohn. VPC prediction: reducing the cost of indirect branches via hardware-based dynamic devirtualization. In ISCA-34, pages 424-435, 2007. (Pubitemid 47582122)
    • (2007) Proceedings - International Symposium on Computer Architecture , pp. 424-435
    • Kim, H.1    Joao, J.A.2    Mutlu, O.3    Lee, C.J.4    Patt, Y.N.5    Cohn, R.6
  • 22
    • 0021204160 scopus 로고
    • Branch prediction strategies and branch target buffer design
    • J. K. F. Lee and A. J. Smith. Branch prediction strategies and branch target buffer design. IEEE Computer, 17(1):6-22, 1984.
    • (1984) IEEE Computer , vol.17 , Issue.1 , pp. 6-22
    • Lee, J.K.F.1    Smith, A.J.2
  • 25
    • 70449730924 scopus 로고    scopus 로고
    • Creating artificial global history to improve branch prediction accuracy
    • L. Porter and D. M. Tullsen. Creating artificial global history to improve branch prediction accuracy. In ICS-23, pages 266-275, 2009.
    • (2009) ICS-23 , pp. 266-275
    • Porter, L.1    Tullsen, D.M.2
  • 26
    • 0032669611 scopus 로고    scopus 로고
    • Improving virtual function call target prediction via dependence-based pre-computation
    • A. Roth, A. Moshovos, and G. S. Sohi. Improving virtual function call target prediction via dependence-based pre-computation. In ICS-13, pages 356-364, 1999.
    • (1999) ICS-13 , pp. 356-364
    • Roth, A.1    Moshovos, A.2    Sohi, G.S.3
  • 27
    • 0031383534 scopus 로고    scopus 로고
    • The predictability of data values
    • Y. Sazeides and J. E. Smith. The predictability of data values. In MICRO-30, pages 248 - 258, 1997.
    • (1997) MICRO-30 , pp. 248-258
    • Sazeides, Y.1    Smith, J.E.2
  • 29
    • 84858773483 scopus 로고    scopus 로고
    • A new case for the TAGE branch predictor
    • A. Seznec. A new case for the TAGE branch predictor. In MICRO-44, 2011.
    • (2011) MICRO-44
    • Seznec, A.1
  • 31
    • 0032309658 scopus 로고    scopus 로고
    • Improving prediction for procedure returns with return-address-stack repair mechanisms
    • K. Skadron, P. S. Ahuja, M. Martonosi, and D. W. Clark. Improving prediction for procedure returns with return-address-stack repair mechanisms. In MICRO-31, pages 259-271, 1998.
    • (1998) MICRO-31 , pp. 259-271
    • Skadron, K.1    Ahuja, P.S.2    Martonosi, M.3    Clark, D.W.4
  • 32
    • 84864049516 scopus 로고    scopus 로고
    • Standard Performance Evaluation Corporation
    • SPEC. Standard Performance Evaluation Corporation. http://www.spec.org.
  • 33
    • 84862059661 scopus 로고    scopus 로고
    • Energy-efficient branch prediction with compiler-guided history stack
    • M. Tan, X. Liu, Z. Xie, D. Tong, and X. Cheng. Energy-efficient branch prediction with compiler-guided history stack. In DATE'12, pages 449-454, 2012.
    • (2012) DATE'12 , pp. 449-454
    • Tan, M.1    Liu, X.2    Xie, Z.3    Tong, D.4    Cheng, X.5
  • 34
    • 0038346222 scopus 로고    scopus 로고
    • Improving branch prediction by dynamic dataflow-based identification of correlated branches from a large global history
    • R. Thomas, M. Franklin, C. Wilkerson, and J. Stark. Improving branch prediction by dynamic dataflow-based identification of correlated branches from a large global history. In ISCA-30, pages 314-323, 2003.
    • (2003) ISCA-30 , pp. 314-323
    • Thomas, R.1    Franklin, M.2    Wilkerson, C.3    Stark, J.4
  • 35
    • 83455220188 scopus 로고    scopus 로고
    • TAP prediction: Reusing conditional branch predictor for indirect branches with target address pointers
    • Z. Xie, D. Tong, M. Huang, X. Wang, Q. Shi, and X. Cheng. TAP prediction: Reusing conditional branch predictor for indirect branches with target address pointers. In ICCD-29, pages 119-126, 2011.
    • (2011) ICCD-29 , pp. 119-126
    • Xie, Z.1    Tong, D.2    Huang, M.3    Wang, X.4    Shi, Q.5    Cheng, X.6


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.