-
1
-
-
84944413215
-
LLVA: A low-level virtual instruction set architecture
-
San Diego, California, December
-
V. Adve, C. Lattner, M. Brukman, A. Shukla, and B. Gaeke. LLVA: A Low-level Virtual Instruction Set Architecture. In International Symposium on Microarchitecture, pages 205-216, San Diego, California, December 2003.
-
(2003)
International Symposium on Microarchitecture
, pp. 205-216
-
-
Adve, V.1
Lattner, C.2
Brukman, M.3
Shukla, A.4
Gaeke, B.5
-
2
-
-
84944392430
-
Checkpoint processing and recovery: Towards scalable large instruction window processors
-
San Diego, California, December
-
H. Akkary, R. Rajwar, and S. Srinivasan. Checkpoint Processing and Recovery: Towards Scalable Large Instruction Window Processors. In International Symposium on Microarchitecture, pages 423-434, San Diego, California, December 2003.
-
(2003)
International Symposium on Microarchitecture
, pp. 423-434
-
-
Akkary, H.1
Rajwar, R.2
Srinivasan, S.3
-
3
-
-
0034461413
-
Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures
-
Monterey, California, December
-
R. Balasubramonian, D. Albonesi, A. Buyuktosunoglu, and S. Dwarkadas. Memory Hierarchy Reconfiguration for Energy and Performance in General-Purpose Processor Architectures. In International Symposium on Microarchitecture, pages 245-257, Monterey, California, December 2000.
-
(2000)
International Symposium on Microarchitecture
, pp. 245-257
-
-
Balasubramonian, R.1
Albonesi, D.2
Buyuktosunoglu, A.3
Dwarkadas, S.4
-
4
-
-
33748538799
-
Decomposing the load-store queue by function for power reduction and scalability
-
Yorktown Heights, New York, October
-
L. Baugh and C. Zilles. Decomposing the Load-Store Queue by Function for Power Reduction and Scalability. In Watson Conference on Interaction between Architecture, Circuits, and Compilers, Yorktown Heights, New York, October 2004.
-
(2004)
Watson Conference on Interaction between Architecture, Circuits, and Compilers
-
-
Baugh, L.1
Zilles, C.2
-
5
-
-
0033719421
-
Wattch: A framework for architecturallevel power analysis and optimizations
-
Vancouver, Canada, June
-
D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A Framework for ArchitecturalLevel Power Analysis and Optimizations. In International Symposium on Computer Architecture, pages 83-94, Vancouver, Canada, June 2000.
-
(2000)
International Symposium on Computer Architecture
, pp. 83-94
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
-
6
-
-
0003465202
-
The SimpleScalar tool set, version 2.0
-
Computer Sciences Department, University of Wisconsin-Madison, June
-
D. Burger and T. Austin. The SimpleScalar Tool Set, Version 2.0. Technical report 1342, Computer Sciences Department, University of Wisconsin-Madison, June 1997.
-
(1997)
Technical Report
, vol.1342
-
-
Burger, D.1
Austin, T.2
-
8
-
-
0032025103
-
FX:32: A profile-directed binary translator
-
March/April
-
A. Chernoff, M. Herdeg, R. Hookway, C. Reeve, N. Rubin, T. Tye, S. Yadavalli, and J. Yates. FX:32: A Profile-Directed Binary Translator. IEEE Micro, 18(2):56-64, March/April 1998.
-
(1998)
IEEE Micro
, vol.18
, Issue.2
, pp. 56-64
-
-
Chernoff, A.1
Herdeg, M.2
Hookway, R.3
Reeve, C.4
Rubin, N.5
Tye, T.6
Yadavalli, S.7
Yates, J.8
-
9
-
-
0031594025
-
Memory dependence prediction using store sets
-
Barcelona, Spain, June-July
-
G. Chrysos and J. Emer. Memory Dependence Prediction Using Store Sets. In International Symposium on Computer Architecture, pages 142 -153, Barcelona, Spain, June-July 1998.
-
(1998)
International Symposium on Computer Architecture
, pp. 142-153
-
-
Chrysos, G.1
Emer, J.2
-
11
-
-
27544509382
-
Scalable load and store processing in latency tolerant processors
-
Madison, Wisconsin, June
-
A. Gandhi, H. Akkary, R. Rajwar, S. Srinivasan, and K. Lai. Scalable Load and Store Processing in Latency Tolerant Processors. In International Symposium on Computer Architecture, Madison, Wisconsin, June 2005.
-
(2005)
International Symposium on Computer Architecture
-
-
Gandhi, A.1
Akkary, H.2
Rajwar, R.3
Srinivasan, S.4
Lai, K.5
-
12
-
-
33748870163
-
Implementing software-hardware cooperative memory disambiguation
-
Electrical & Computer Engineering Department, University of Rochester, December
-
A. Garg, R. Huang, and M. Huang. Implementing Software-Hardware Cooperative Memory Disambiguation. Technical report, Electrical & Computer Engineering Department, University of Rochester, December 2005.
-
(2005)
Technical Report
-
-
Garg, A.1
Huang, R.2
Huang, M.3
-
13
-
-
0028313937
-
Speculative disambiguation: A compilation technique for dynamic memory disambiguation
-
Chicago, Illinois, April
-
A. Huang, G. Slavenburg, and J. Shen. Speculative Disambiguation: A Compilation Technique for Dynamic Memory Disambiguation. In International Symposium on Computer Architecture, pages 200-210, Chicago, Illinois, April 1994.
-
(1994)
International Symposium on Computer Architecture
, pp. 200-210
-
-
Huang, A.1
Slavenburg, G.2
Shen, J.3
-
14
-
-
0027595384
-
The superblock: An effective technique for VLIW and superscalar compilation
-
W. Hwu, S. Mahlke, W. Chen, P. Chang, N. Warter, R. Bringmann, R. Ouellette, R. Hank, T. Kiyohara, G. Haab, J. Holm, and D. Lavery. The Superblock: An Effective Technique for VLIW and Superscalar Compilation. Journal of Super-computing, pages 229-248, 1993.
-
(1993)
Journal of Super-computing
, pp. 229-248
-
-
Hwu, W.1
Mahlke, S.2
Chen, W.3
Chang, P.4
Warter, N.5
Bringmann, R.6
Ouellette, R.7
Hank, R.8
Kiyohara, T.9
Haab, G.10
Holm, J.11
Lavery, D.12
-
15
-
-
0003902445
-
The technology behind crusoe™processors
-
Transmeta Corporation, January
-
A. Klaiber. The Technology Behind Crusoe™Processors. Technical Report, Transmeta Corporation, January 2000.
-
(2000)
Technical Report
-
-
Klaiber, A.1
-
16
-
-
0036286989
-
A large, fast instruction window for tolerating cache misses
-
Anchorage, Alaska, May
-
A. Lebeck, J. Koppanalil, T. Li, J. Patwardhan, and E. Rotenberg. A Large, Fast Instruction Window for Tolerating Cache Misses. In International Symposium on Computer Architecture, pages 59-70, Anchorage, Alaska, May 2002.
-
(2002)
International Symposium on Computer Architecture
, pp. 59-70
-
-
Lebeck, A.1
Koppanalil, J.2
Li, T.3
Patwardhan, J.4
Rotenberg, E.5
-
17
-
-
0030717767
-
Dynamic speculation and synchronization of data dependences
-
Denver Colorado, June
-
A. Moshovos, S. Breach, T. Vijaykumar, and G. Sohi. Dynamic Speculation and Synchronization of Data Dependences. In International Symposium on Computer Architecture, pages 181-193, Denver Colorado, June 1997.
-
(1997)
International Symposium on Computer Architecture
, pp. 181-193
-
-
Moshovos, A.1
Breach, S.2
Vijaykumar, T.3
Sohi, G.4
-
18
-
-
0031364381
-
Streamlining inter-operation memory communication via data dependence prediction
-
Research Triangle Park, North Carolina, December
-
A. Moshovos and G. Sohi. Streamlining Inter-operation Memory Communication via Data Dependence Prediction. In International Symposium on Microarchitecture, pages 235-245, Research Triangle Park, North Carolina, December 1997.
-
(1997)
International Symposium on Microarchitecture
, pp. 235-245
-
-
Moshovos, A.1
Sohi, G.2
-
19
-
-
0034581197
-
Memory dependence speculation tradeoffs in centralized, continuous-window superscalar processors
-
Toulouse, France, January
-
A. Moshovos and G. Sohi. Memory Dependence Speculation Tradeoffs in Centralized, Continuous-Window Superscalar Processors. In International Symposium on High-Performance Computer Architecture, pages 301-312, Toulouse, France, January 2000.
-
(2000)
International Symposium on High-performance Computer Architecture
, pp. 301-312
-
-
Moshovos, A.1
Sohi, G.2
-
20
-
-
0035111361
-
Alto: A link-time optimizer for the compaq alpha
-
January
-
R. Muth, S. Debray, S. Watterson, and K. De Bosschere. alto: A Link-Time Optimizer for the Compaq Alpha. Software: Practices and Experience, 31(1):67-101, January 2001.
-
(2001)
Software: Practices and Experience
, vol.31
, Issue.1
, pp. 67-101
-
-
Muth, R.1
Debray, S.2
Watterson, S.3
De Bosschere, K.4
-
21
-
-
84944398264
-
Reducing design complexity of the load/store queue
-
San Diego, California, December
-
I. Park, C. Ooi, and T. Vijaykumar. Reducing Design Complexity of the Load/Store Queue. In International Symposium on Microarchitecture, pages 411-422, San Diego, California, December 2003.
-
(2003)
International Symposium on Microarchitecture
, pp. 411-422
-
-
Park, I.1
Ooi, C.2
Vijaykumar, T.3
-
22
-
-
84976676720
-
The omega test: A fast and practical integer programming algorithm for dependence analysis
-
August
-
W. Pugh. The Omega Test: a Fast and Practical Integer Programming Algorithm for Dependence Analysis. Communications of the ACM, 35(8):102-114, August 1992.
-
(1992)
Communications of the ACM
, vol.35
, Issue.8
, pp. 102-114
-
-
Pugh, W.1
-
23
-
-
33646019207
-
A high-bandwidth load-store unit for single- and multi- threaded processors
-
Development of Computer and Information Science, University of Pennsylvania, September
-
A. Roth. A High-Bandwidth Load-Store Unit for Single- and Multi- Threaded Processors. Technical Report (CIS), Development of Computer and Information Science, University of Pennsylvania, September 2004.
-
(2004)
Technical Report (CIS)
-
-
Roth, A.1
-
24
-
-
27544514377
-
Store Vulnerability Window (SVW): Re-execution filtering for enhanced load optimization
-
Madison, Wisconsin, June
-
A. Roth. Store Vulnerability Window (SVW): Re-Execution Filtering for Enhanced Load Optimization. In International Symposium on Computer Architecture, Madison, Wisconsin, June 2005.
-
(2005)
International Symposium on Computer Architecture
-
-
Roth, A.1
-
25
-
-
84944387421
-
Scalable hardware memory disambiguation for high ILP processors
-
San Diego, California, December
-
S. Sethumadhavan, R. Desikan, D. Burger, C. Moore, and S. Keckler. Scalable Hardware Memory Disambiguation for High ILP Processors. In International Symposium on Microarchitecture, pages 399-410, San Diego, California, December 2003.
-
(2003)
International Symposium on Microarchitecture
, pp. 399-410
-
-
Sethumadhavan, S.1
Desikan, R.2
Burger, D.3
Moore, C.4
Keckler, S.5
-
26
-
-
0036298603
-
POWER4 system microarchitecture
-
January
-
J. Tendler, J. Dodson, J. Fields, H. Le, and B. Sinharoy. POWER4 System Microarchitecture. IBM Journal of Research and Development,46(1):5-25, January 2002.
-
(2002)
IBM Journal of Research and Development
, vol.46
, Issue.1
, pp. 5-25
-
-
Tendler, J.1
Dodson, J.2
Fields, J.3
Le, H.4
Sinharoy, B.5
-
27
-
-
27544459744
-
Store buffer design in first-level multibanked data caches
-
Madison, Wisconsin, June
-
E. Torres, P. Ibanez, V. Vinals, and J. Llaberia. Store Buffer Design in First-Level Multibanked Data Caches. In International Symposium on Computer Architecture, Madison, Wisconsin, June 2005.
-
(2005)
International Symposium on Computer Architecture
-
-
Torres, E.1
Ibanez, P.2
Vinals, V.3
Llaberia, J.4
-
28
-
-
0035694087
-
Direct addressed caches for reduced power consumption
-
Austin, Texas, December
-
E. Witchel, S. Larsen, C. Ananian, and K. Asanovic. Direct Addressed Caches for Reduced Power Consumption. In International Symposium on Microarchitecture, pages 124-133, Austin, Texas, December 2001.
-
(2001)
International Symposium on Microarchitecture
, pp. 124-133
-
-
Witchel, E.1
Larsen, S.2
Ananian, C.3
Asanovic, K.4
|