메뉴 건너뛰기




Volumn , Issue , 2012, Pages 14-24

A mechanistic performance model for superscalar in-order processors

Author keywords

[No Author keywords available]

Indexed keywords

BRANCH MISPREDICTIONS; COMPILER OPTIMIZATIONS; CYCLE-ACCURATE SIMULATION; DESIGN SPACE EXPLORATION; DETAILED MODELING; MECHANISTIC MODELING; MICRO ARCHITECTURES; OUT OF ORDER; OUT-OF-ORDER PROCESSORS; PERFORMANCE MODEL; PERFORMANCE MODELING; PERFORMANCE PREDICTION; PROCESSOR PERFORMANCE; SCALING TRENDS; SUPERSCALAR; UNDERLYING MECHANISM;

EID: 84862099727     PISSN: None     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1109/ISPASS.2012.6189202     Document Type: Conference Paper
Times cited : (15)

References (28)
  • 3
    • 79961189225 scopus 로고    scopus 로고
    • How sensitive is processor customization to the workload's input datasets?
    • June
    • M. Breughe, Z. Li, Y. Chen, S. Eyerman, O. Temam, C.Wu, and L. Eeckhout. How sensitive is processor customization to the workload's input datasets? In SASP, pages 1-7, June 2011.
    • (2011) SASP , pp. 1-7
    • Breughe, M.1    Li, Z.2    Chen, Y.3    Eyerman, S.4    Temam, O.5    Wu, C.6    Eeckhout, L.7
  • 4
    • 47349128966 scopus 로고    scopus 로고
    • Microarchitecture design space exploration using an architecturecentric approach
    • Dec
    • C. Dubach, T. M. Jones, and M. F. P. O'Boyle. Microarchitecture design space exploration using an architecturecentric approach. In MICRO, pages 262-271, Dec. 2007.
    • (2007) MICRO , pp. 262-271
    • Dubach, C.1    Jones, T.M.2    O'boyle, M.F.P.3
  • 5
    • 0028416719 scopus 로고
    • Instruction window size trade-offs and characterization of program parallelism
    • Apr
    • P. K. Dubey, G. B. Adams III, and M. J. Flynn. Instruction window size trade-offs and characterization of program parallelism. IEEE Transactions on Computers, 43(4):431-442, Apr. 1994.
    • (1994) IEEE Transactions on Computers , vol.43 , Issue.4 , pp. 431-442
    • Dubey, P.K.1    Adams III, G.B.2    Flynn, M.J.3
  • 7
    • 0242577987 scopus 로고    scopus 로고
    • Statistical simulation: Adding efficiency to the computer designer's toolbox
    • Sept/Oct
    • L. Eeckhout, S. Nussbaum, J. E. Smith, and K. De Bosschere. Statistical simulation: Adding efficiency to the computer designer's toolbox. IEEE Micro, 23(5):26-38, Sept/Oct 2003.
    • (2003) IEEE Micro , vol.23 , Issue.5 , pp. 26-38
    • Eeckhout, L.1    Nussbaum, S.2    Smith, J.E.3    De Bosschere, K.4
  • 9
    • 79957465443 scopus 로고    scopus 로고
    • Mechanisticempirical processor performance modeling for constructing CPI stacks on real hardware
    • Apr
    • S. Eyerman, K. Hoste, and L. Eeckhout. Mechanisticempirical processor performance modeling for constructing CPI stacks on real hardware. In ISPASS, pages 216-226, Apr. 2011.
    • (2011) ISPASS , pp. 216-226
    • Eyerman, S.1    Hoste, K.2    Eeckhout, L.3
  • 11
    • 0036296817 scopus 로고    scopus 로고
    • The optimal pipeline depth for a microprocessor
    • May
    • A. Hartstein and T. R. Puzak. The optimal pipeline depth for a microprocessor. In ISCA, pages 7-13, May 2002.
    • (2002) ISCA , pp. 7-13
    • Hartstein, A.1    Puzak, T.R.2
  • 12
    • 0024903997 scopus 로고
    • Evaluating associativity in CPU caches
    • Dec
    • M. D. Hill and A. J. Smith. Evaluating associativity in CPU caches. IEEE Transactions on Computers, 38(12):1612-1630, Dec. 1989.
    • (1989) IEEE Transactions on Computers , vol.38 , Issue.12 , pp. 1612-1630
    • Hill, M.D.1    Smith, A.J.2
  • 13
    • 33846506102 scopus 로고    scopus 로고
    • Efficiently exploring architectural design spaces via predictive modeling
    • Oct
    • E. Ipek, S. A. McKee, B. R. de Supinski, M. Schulz, and R. Caruana. Efficiently exploring architectural design spaces via predictive modeling. In ASPLOS, pages 195-206, Oct. 2006.
    • (2006) ASPLOS , pp. 195-206
    • Ipek, E.1    McKee, S.A.2    De Supinski, B.R.3    Schulz, M.4    Caruana, R.5
  • 16
    • 0028404528 scopus 로고
    • Dynamic trace analysis for analytic modeling of superscalar performance
    • Mar
    • R. A. Kamin III, G. B. Adams III, and P. K. Dubey. Dynamic trace analysis for analytic modeling of superscalar performance. Performance Evaluation, 19(2-3):259-276, Mar. 1994.
    • (1994) Performance Evaluation , vol.19 , Issue.2-3 , pp. 259-276
    • Kamin III, R.A.1    Adams III B, G.2    Dubey, P.K.3
  • 17
    • 4644299010 scopus 로고    scopus 로고
    • A first-order superscalar processor model
    • June
    • T. Karkhanis and J. E. Smith. A first-order superscalar processor model. In ISCA, pages 338-349, June 2004.
    • (2004) ISCA , pp. 338-349
    • Karkhanis, T.1    Smith, J.E.2
  • 18
    • 20344374162 scopus 로고    scopus 로고
    • Niagara: A 32-way multithreaded sparc processor
    • DOI 10.1109/MM.2005.35
    • P. Kongetira, K. Aingaran, and K. Olukotun. Niagara: A 32-way multithreaded SPARC processor. IEEE Micro, 25(2):21-29, March/April 2005. (Pubitemid 40784326)
    • (2005) IEEE Micro , vol.25 , Issue.2 , pp. 21-29
    • Kongetira, P.1    Aingaran, K.2    Olukotun, K.3
  • 19
    • 33846540871 scopus 로고    scopus 로고
    • Accurate and efficient regression modeling for microarchitectural performance and power prediction
    • Oct
    • B. Lee and D. Brooks. Accurate and efficient regression modeling for microarchitectural performance and power prediction. In ASPLOS, pages 185-194, Oct. 2006.
    • (2006) ASPLOS , pp. 185-194
    • Lee, B.1    Brooks, D.2
  • 20
    • 76749146060 scopus 로고    scopus 로고
    • McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
    • Dec
    • S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In MICRO, pages 469-480, Dec. 2009.
    • (2009) MICRO , pp. 469-480
    • Li, S.1    Ahn, J.H.2    Strong, R.D.3    Brockman, J.B.4    Tullsen, D.M.5    Jouppi, N.P.6
  • 21
    • 52749085695 scopus 로고    scopus 로고
    • Understanding and designing new server architectures for emerging warehouse-computing environments
    • June
    • K. Lim, P. Ranganathan, J. Chang, C. Patel, T. Mudge, and S. Reinhardt. Understanding and designing new server architectures for emerging warehouse-computing environments. In ISCA, pages 315-326, June 2008.
    • (2008) ISCA , pp. 315-326
    • Lim, K.1    Ranganathan, P.2    Chang, J.3    Patel, C.4    Mudge, T.5    Reinhardt, S.6
  • 24
    • 0030737120 scopus 로고    scopus 로고
    • A framework for statistical modeling of superscalar processor performance
    • Feb
    • D. B. Noonburg and J. P. Shen. A framework for statistical modeling of superscalar processor performance. In HPCA, pages 298-309, Feb. 1997.
    • (1997) HPCA , pp. 298-309
    • Noonburg, D.B.1    Shen, J.P.2
  • 25
    • 0033719951 scopus 로고    scopus 로고
    • HLS: Combining statistical and symbolic simulation to guide microprocessor design
    • June
    • M. Oskin, F. T. Chong, and M. Farrens. HLS: Combining statistical and symbolic simulation to guide microprocessor design. In ISCA, pages 71-82, June 2000.
    • (2000) ISCA , pp. 71-82
    • Oskin, M.1    Chong, F.T.2    Farrens, M.3
  • 27
    • 77954977639 scopus 로고    scopus 로고
    • Web search using mobile cores: Quantifying and mitigating the price of efficiency
    • June
    • V. J. Reddi, B. C. Lee, T. Chilimbi, and K. Vaid. Web search using mobile cores: Quantifying and mitigating the price of efficiency. In ISCA, pages 26-36, June 2010.
    • (2010) ISCA , pp. 26-36
    • Reddi, V.J.1    Lee, B.C.2    Chilimbi, T.3    Vaid, K.4
  • 28
    • 43049128224 scopus 로고    scopus 로고
    • An instruction throughput model of superscalar processors
    • DOI 10.1109/TC.2007.70817
    • T. M. Taha and D. S.Wills. An instruction throughput model of superscalar processors. IEEE Transactions on Computers, 57(3):389-403, Mar. 2008. (Pubitemid 351627052)
    • (2008) IEEE Transactions on Computers , vol.57 , Issue.3 , pp. 389-403
    • Taha, T.M.1    Wills, D.S.2


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.