-
1
-
-
72249085354
-
FAWN: A fast array of wimpy nodes
-
Oct
-
D. G. Andersen, J. Franklin, M. Kaminsky, A. Phanishayee, L. Tan, and V. Vasudevan. FAWN: A fast array of wimpy nodes. In SOSP, pages 1-14, Oct. 2009.
-
(2009)
SOSP
, pp. 1-14
-
-
Andersen, D.G.1
Franklin, J.2
Kaminsky, M.3
Phanishayee, A.4
Tan, L.5
Vasudevan, V.6
-
2
-
-
33846535493
-
The M5 simulator: Modeling networked systems
-
DOI 10.1109/MM.2006.82
-
N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. The M5 simulator: Modeling networked systems. IEEE Micro, 26(4):52-60, 2006. (Pubitemid 46504889)
-
(2006)
IEEE Micro
, vol.26
, Issue.4
, pp. 52-60
-
-
Binkert, N.L.1
Dreslinski, R.G.2
Hsu, L.R.3
Lim, K.T.4
Saidi, A.G.5
Reinhardt, S.K.6
-
3
-
-
79961189225
-
How sensitive is processor customization to the workload's input datasets?
-
June
-
M. Breughe, Z. Li, Y. Chen, S. Eyerman, O. Temam, C.Wu, and L. Eeckhout. How sensitive is processor customization to the workload's input datasets? In SASP, pages 1-7, June 2011.
-
(2011)
SASP
, pp. 1-7
-
-
Breughe, M.1
Li, Z.2
Chen, Y.3
Eyerman, S.4
Temam, O.5
Wu, C.6
Eeckhout, L.7
-
4
-
-
47349128966
-
Microarchitecture design space exploration using an architecturecentric approach
-
Dec
-
C. Dubach, T. M. Jones, and M. F. P. O'Boyle. Microarchitecture design space exploration using an architecturecentric approach. In MICRO, pages 262-271, Dec. 2007.
-
(2007)
MICRO
, pp. 262-271
-
-
Dubach, C.1
Jones, T.M.2
O'boyle, M.F.P.3
-
5
-
-
0028416719
-
Instruction window size trade-offs and characterization of program parallelism
-
Apr
-
P. K. Dubey, G. B. Adams III, and M. J. Flynn. Instruction window size trade-offs and characterization of program parallelism. IEEE Transactions on Computers, 43(4):431-442, Apr. 1994.
-
(1994)
IEEE Transactions on Computers
, vol.43
, Issue.4
, pp. 431-442
-
-
Dubey, P.K.1
Adams III, G.B.2
Flynn, M.J.3
-
7
-
-
0242577987
-
Statistical simulation: Adding efficiency to the computer designer's toolbox
-
Sept/Oct
-
L. Eeckhout, S. Nussbaum, J. E. Smith, and K. De Bosschere. Statistical simulation: Adding efficiency to the computer designer's toolbox. IEEE Micro, 23(5):26-38, Sept/Oct 2003.
-
(2003)
IEEE Micro
, vol.23
, Issue.5
, pp. 26-38
-
-
Eeckhout, L.1
Nussbaum, S.2
Smith, J.E.3
De Bosschere, K.4
-
8
-
-
67650312346
-
A mechanistic performance model for superscalar out-oforder processors
-
May
-
S. Eyerman, L. Eeckhout, T. Karkhanis, and J. E. Smith. A mechanistic performance model for superscalar out-oforder processors. ACM Transactions on Computer Systems (TOCS), 27(2), May 2009.
-
(2009)
ACM Transactions on Computer Systems (TOCS)
, vol.27
, Issue.2
-
-
Eyerman, S.1
Eeckhout, L.2
Karkhanis, T.3
Smith, J.E.4
-
9
-
-
79957465443
-
Mechanisticempirical processor performance modeling for constructing CPI stacks on real hardware
-
Apr
-
S. Eyerman, K. Hoste, and L. Eeckhout. Mechanisticempirical processor performance modeling for constructing CPI stacks on real hardware. In ISPASS, pages 216-226, Apr. 2011.
-
(2011)
ISPASS
, pp. 216-226
-
-
Eyerman, S.1
Hoste, K.2
Eeckhout, L.3
-
10
-
-
84962779213
-
MiBench: A free, commercially representative embedded benchmark suite
-
Dec
-
M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge, and R. B. Brown. MiBench: A free, commercially representative embedded benchmark suite. In WWC, Dec. 2001.
-
(2001)
WWC
-
-
Guthaus, M.R.1
Ringenberg, J.S.2
Ernst, D.3
Austin, T.M.4
Mudge, T.5
Brown, R.B.6
-
11
-
-
0036296817
-
The optimal pipeline depth for a microprocessor
-
May
-
A. Hartstein and T. R. Puzak. The optimal pipeline depth for a microprocessor. In ISCA, pages 7-13, May 2002.
-
(2002)
ISCA
, pp. 7-13
-
-
Hartstein, A.1
Puzak, T.R.2
-
12
-
-
0024903997
-
Evaluating associativity in CPU caches
-
Dec
-
M. D. Hill and A. J. Smith. Evaluating associativity in CPU caches. IEEE Transactions on Computers, 38(12):1612-1630, Dec. 1989.
-
(1989)
IEEE Transactions on Computers
, vol.38
, Issue.12
, pp. 1612-1630
-
-
Hill, M.D.1
Smith, A.J.2
-
13
-
-
33846506102
-
Efficiently exploring architectural design spaces via predictive modeling
-
Oct
-
E. Ipek, S. A. McKee, B. R. de Supinski, M. Schulz, and R. Caruana. Efficiently exploring architectural design spaces via predictive modeling. In ASPLOS, pages 195-206, Oct. 2006.
-
(2006)
ASPLOS
, pp. 195-206
-
-
Ipek, E.1
McKee, S.A.2
De Supinski, B.R.3
Schulz, M.4
Caruana, R.5
-
14
-
-
33748863916
-
Construction and use of linear regression models for processor performance analysis
-
DOI 10.1109/HPCA.2006.1598116, 1598116, Proceedings - Twelfth International Symposium on High-Performance Computer Architecture, 2006
-
P. J. Joseph, K. Vaswani, and M. J. Thazhuthaveetil. Construction and use of linear regression models for processor performance analysis. In HPCA, pages 99-108, Feb. 2006. (Pubitemid 44418381)
-
(2006)
Proceedings - International Symposium on High-Performance Computer Architecture
, vol.2006
, pp. 99-108
-
-
Joseph, P.J.1
Vaswani, K.2
Thazhuthaveetil, M.J.3
-
15
-
-
34548333834
-
A predictive performance model for superscalar processors
-
DOI 10.1109/MICRO.2006.6, 4041844, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
P. J. Joseph, K. Vaswani, and M. J. Thazhuthaveetil. A predictive performance model for superscalar processors. In MICRO, pages 161-170, Dec. 2006. (Pubitemid 351336994)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 161-170
-
-
Joseph, P.J.1
Vaswani, K.2
Thazhuthaveetil, M.J.3
-
16
-
-
0028404528
-
Dynamic trace analysis for analytic modeling of superscalar performance
-
Mar
-
R. A. Kamin III, G. B. Adams III, and P. K. Dubey. Dynamic trace analysis for analytic modeling of superscalar performance. Performance Evaluation, 19(2-3):259-276, Mar. 1994.
-
(1994)
Performance Evaluation
, vol.19
, Issue.2-3
, pp. 259-276
-
-
Kamin III, R.A.1
Adams III B, G.2
Dubey, P.K.3
-
17
-
-
4644299010
-
A first-order superscalar processor model
-
June
-
T. Karkhanis and J. E. Smith. A first-order superscalar processor model. In ISCA, pages 338-349, June 2004.
-
(2004)
ISCA
, pp. 338-349
-
-
Karkhanis, T.1
Smith, J.E.2
-
18
-
-
20344374162
-
Niagara: A 32-way multithreaded sparc processor
-
DOI 10.1109/MM.2005.35
-
P. Kongetira, K. Aingaran, and K. Olukotun. Niagara: A 32-way multithreaded SPARC processor. IEEE Micro, 25(2):21-29, March/April 2005. (Pubitemid 40784326)
-
(2005)
IEEE Micro
, vol.25
, Issue.2
, pp. 21-29
-
-
Kongetira, P.1
Aingaran, K.2
Olukotun, K.3
-
19
-
-
33846540871
-
Accurate and efficient regression modeling for microarchitectural performance and power prediction
-
Oct
-
B. Lee and D. Brooks. Accurate and efficient regression modeling for microarchitectural performance and power prediction. In ASPLOS, pages 185-194, Oct. 2006.
-
(2006)
ASPLOS
, pp. 185-194
-
-
Lee, B.1
Brooks, D.2
-
20
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
Dec
-
S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In MICRO, pages 469-480, Dec. 2009.
-
(2009)
MICRO
, pp. 469-480
-
-
Li, S.1
Ahn, J.H.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
21
-
-
52749085695
-
Understanding and designing new server architectures for emerging warehouse-computing environments
-
June
-
K. Lim, P. Ranganathan, J. Chang, C. Patel, T. Mudge, and S. Reinhardt. Understanding and designing new server architectures for emerging warehouse-computing environments. In ISCA, pages 315-326, June 2008.
-
(2008)
ISCA
, pp. 315-326
-
-
Lim, K.1
Ranganathan, P.2
Chang, J.3
Patel, C.4
Mudge, T.5
Reinhardt, S.6
-
22
-
-
0014701246
-
Evaluation techniques for storage hierarchies
-
June
-
R. L. Mattson, J. Gecsei, D. R. Slutz, and I. L. Traiger. Evaluation techniques for storage hierarchies. IBM Systems Journal, 9(2):78-117, June 1970.
-
(1970)
IBM Systems Journal
, vol.9
, Issue.2
, pp. 78-117
-
-
Mattson, R.L.1
Gecsei, J.2
Slutz, D.R.3
Traiger, I.L.4
-
24
-
-
0030737120
-
A framework for statistical modeling of superscalar processor performance
-
Feb
-
D. B. Noonburg and J. P. Shen. A framework for statistical modeling of superscalar processor performance. In HPCA, pages 298-309, Feb. 1997.
-
(1997)
HPCA
, pp. 298-309
-
-
Noonburg, D.B.1
Shen, J.P.2
-
25
-
-
0033719951
-
HLS: Combining statistical and symbolic simulation to guide microprocessor design
-
June
-
M. Oskin, F. T. Chong, and M. Farrens. HLS: Combining statistical and symbolic simulation to guide microprocessor design. In ISCA, pages 71-82, June 2000.
-
(2000)
ISCA
, pp. 71-82
-
-
Oskin, M.1
Chong, F.T.2
Farrens, M.3
-
26
-
-
36949001762
-
Using model trees for computer architecture performance analysis of software applications
-
DOI 10.1109/ISPASS.2007.363742, 4211028, ISPASS 2007: IEEE International Symposium on Performance Analysis of Systems and Software
-
E. Ould-Ahmed-Vall, J. Woodlee, C. Yount, K. A. Doshi, and S. Abraham. Using model trees for computer architecture performance analysis of software applications. In ISPASS, pages 116-125, Apr. 2007. (Pubitemid 350237492)
-
(2007)
ISPASS 2007: IEEE International Symposium on Performance Analysis of Systems and Software
, pp. 116-125
-
-
Ould-Ahmed-Vall, E.1
Woodlee, J.2
Yount, C.3
Doshi, K.A.4
Abraham, S.5
-
27
-
-
77954977639
-
Web search using mobile cores: Quantifying and mitigating the price of efficiency
-
June
-
V. J. Reddi, B. C. Lee, T. Chilimbi, and K. Vaid. Web search using mobile cores: Quantifying and mitigating the price of efficiency. In ISCA, pages 26-36, June 2010.
-
(2010)
ISCA
, pp. 26-36
-
-
Reddi, V.J.1
Lee, B.C.2
Chilimbi, T.3
Vaid, K.4
-
28
-
-
43049128224
-
An instruction throughput model of superscalar processors
-
DOI 10.1109/TC.2007.70817
-
T. M. Taha and D. S.Wills. An instruction throughput model of superscalar processors. IEEE Transactions on Computers, 57(3):389-403, Mar. 2008. (Pubitemid 351627052)
-
(2008)
IEEE Transactions on Computers
, vol.57
, Issue.3
, pp. 389-403
-
-
Taha, T.M.1
Wills, D.S.2
|