메뉴 건너뛰기




Volumn , Issue , 2011, Pages

System implications of memory reliability in exascale computing

Author keywords

BCH; Checkpointing; Chipkill; DRAM; ECC; Exascale computing; Memory system; Reliability; Tagged memory

Indexed keywords

BCH; CHECK POINTING; CHIPKILL; ECC; EXASCALE COMPUTING; MEMORY SYSTEMS; TAGGED MEMORY;

EID: 83155182888     PISSN: None     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1145/2063384.2063445     Document Type: Conference Paper
Times cited : (42)

References (49)
  • 4
    • 33745125067 scopus 로고    scopus 로고
    • On the architectural requirements for efficient execution of graph algorithms
    • DOI 10.1109/ICPP.2005.55, 1488653, Proceedings - 2005 International Conference on Parallel Processing
    • D. A. Bader, G. Cong, and J. Feo, "On the architectural requirements for efficient execution of graph algorithms, " in ICPP'05: Proceedings of the 2005 International Conference on Parallel Processing, 2005, pp. 547-556. (Pubitemid 43898265)
    • (2005) Proceedings of the International Conference on Parallel Processing , vol.2005 , pp. 547-556
    • Bader, D.A.1    Cong, G.2    Feo, J.3
  • 5
    • 66749092384 scopus 로고    scopus 로고
    • ExaScale computing study: Technology challenges in achieving exascale systems
    • K. Bergman, et al., "ExaScale Computing Study: Technology Challenges in Achieving Exascale Systems." 2008, DARPA IPTO sponsored report.
    • (2008) DARPA IPTO Sponsored Report
    • Bergman, K.1
  • 7
    • 63549095070 scopus 로고    scopus 로고
    • The PARSEC benchmark suite: Characterization and architectural implications
    • C. Bienia, S. Kumar, J. P. Singh, and K. Li, "The PARSEC Benchmark Suite: Characterization and Architectural Implications, " in PACT, 2008.
    • (2008) PACT
    • Bienia, C.1    Kumar, S.2    Singh, J.P.3    Li, K.4
  • 10
  • 11
    • 28044460018 scopus 로고    scopus 로고
    • A higher order estimate of the optimum checkpoint interval for restart dumps
    • DOI 10.1016/j.future.2004.11.016, PII S0167739X04002213
    • J. T. Daly, "A Higher Order Estimate Of The Optimum Checkpoint Interval For Restart Dumps, " Future Gener. Comput. Syst., vol. 22, pp. 303-312, February 2006. (Pubitemid 41689812)
    • (2006) Future Generation Computer Systems , vol.22 , Issue.3 , pp. 303-312
    • Daly, J.T.1
  • 12
    • 45749104709 scopus 로고    scopus 로고
    • System RAS implications of DRAM soft errors
    • T. J. Dell, "System RAS Implications of DRAM Soft Errors, " IBM Journal of Research and Development, vol. 52, no. 3, pp. 307-314, 2008.
    • (2008) IBM Journal of Research and Development , vol.52 , Issue.3 , pp. 307-314
    • Dell, T.J.1
  • 13
    • 0002960456 scopus 로고    scopus 로고
    • A white paper on the benefits of Chipkill-correct ECC for PC server main memory
    • Nov.
    • T. Dell, "A White Paper On The Benefits Of Chipkill-Correct ECC for PC Server Main Memory, " IBM Microelectronics Division, " Technical Report, Nov. 1997.
    • (1997) IBM Microelectronics Division, Technical Report
    • Dell, T.1
  • 14
    • 76349091566 scopus 로고    scopus 로고
    • PCRAMsim: System-level performance, energy, and area modeling for phase-change RAM
    • New York, NY, USA: ACM, Online, Available
    • X. Dong, N. P. Jouppi, and Y. Xie, "PCRAMsim: System-Level Performance, Energy, and Area Modeling for Phase-Change RAM, " in Proceedings of the 2009 International Conference on Computer-Aided Design, ser. ICCAD'09. New York, NY, USA: ACM, 2009, pp. 269-275. [Online]. Available: http://doi.acm.org/10.1145/1687399.1687449.
    • (2009) Proceedings of the 2009 International Conference on Computer-Aided Design, Ser. ICCAD'09 , pp. 269-275
    • Dong, X.1    Jouppi, N.P.2    Xie, Y.3
  • 17
    • 52249084428 scopus 로고    scopus 로고
    • Performance counters and development of SPEC CPU2006
    • J. L. Henning, "Performance Counters and Development of SPEC CPU2006, " Computer Architecture News, vol. 35, no. 1, 2007.
    • (2007) Computer Architecture News , vol.35 , Issue.1
    • Henning, J.L.1
  • 18
    • 80053207933 scopus 로고    scopus 로고
    • A framework for architecture-level power, area, and thermal simulation and its application to network-on-chip design exploration
    • March, Online, Available
    • M.-y. Hsieh, A. Rodrigues, R. Riesen, K. Thompson, and W. Song, "A Framework for Architecture-Level Power, Area, And Thermal Simulation and Its Application to Network-on-Chip Design Exploration, " SIGMETRICS Perform. Eval. Rev., vol. 38, pp. 63-68, March 2011. [Online]. Available: http://doi.acm.org/10.1145/1964218.1964229.
    • (2011) SIGMETRICS Perform. Eval. Rev. , vol.38 , pp. 63-68
    • Hsieh, M.-Y.1    Rodrigues, A.2    Riesen, R.3    Thompson, K.4    Song, W.5
  • 20
    • 83155174066 scopus 로고    scopus 로고
    • JEDEC, "http://www.jedec.org/.".
    • JEDEC
  • 21
    • 77954965288 scopus 로고    scopus 로고
    • Silicon-photonic network architectures for scalable, power-efficient multi-chip systems
    • June
    • P. Koka, et al., "Silicon-Photonic Network Architectures For Scalable, Power-Efficient Multi-Chip Systems, " ISCA 2010, vol. 38, pp. 117-128, June 2010.
    • (2010) ISCA 2010 , vol.38 , pp. 117-128
    • Koka, P.1
  • 22
    • 63449125471 scopus 로고    scopus 로고
    • A 16Gb/s/link, 64GB/s bidirectional asymmetric memory interface
    • H. Lee, et al., "A 16Gb/s/link, 64GB/s Bidirectional Asymmetric Memory Interface, " JSSC, vol. 44, no. 4, 2009.
    • (2009) JSSC , vol.44 , Issue.4
    • Lee, H.1
  • 23
    • 84863541593 scopus 로고    scopus 로고
    • McPAT 1.0: An integrated power, area, and timing modeling framework for multicore architectures
    • S. Li, J. Ahn, J. B. Brockman, and N. P. Jouppi, "McPAT 1.0: An Integrated Power, Area, and Timing Modeling Framework for Multicore Architectures, " HP Labs, Tech. Rep. HPL-2009-206, 2009.
    • (2009) HP Labs, Tech. Rep. HPL-2009-206
    • Li, S.1    Ahn, J.2    Brockman, J.B.3    Jouppi, N.P.4
  • 25
    • 84862932472 scopus 로고    scopus 로고
    • CACTI-P: Architecture-level modeling for SRAM-based structures with advanced leakage reduction techniques
    • S. Li, K. Chen, J. H. Ahn, J. B. Brockman, and N. P. Jouppi, "CACTI-P: Architecture-Level Modeling for SRAM-based Structures with Advanced Leakage Reduction Techniques, " in ICCAD, 2011.
    • (2011) ICCAD
    • Li, S.1    Chen, K.2    Ahn, J.H.3    Brockman, J.B.4    Jouppi, N.P.5
  • 30
    • 74049106729 scopus 로고    scopus 로고
    • Online, Available
    • Los Alamos National Laboratory, Reliability Data Sets. [Online]. Available: {http://institutes.lanl.gov/data/fdata/}.
    • Reliability Data Sets
  • 31
    • 31944440969 scopus 로고    scopus 로고
    • Pin: Building customized program analysis tools with dynamic instrumentation
    • Jun
    • C.-K. Luk, et al., "Pin: Building Customized Program Analysis Tools with Dynamic Instrumentation, " in PLDI, Jun 2005.
    • (2005) PLDI
    • Luk, C.-K.1
  • 33
    • 34548848278 scopus 로고    scopus 로고
    • A 14mW 6.25Gb/s transceiver in 90nm CMOS for serial chip-to-chip communications
    • R. Palmer, et al., "A 14mW 6.25Gb/s Transceiver in 90nm CMOS for Serial Chip-to-Chip Communications, " in ISSCC'07, 2007, pp. 440-614.
    • (2007) ISSCC'07 , pp. 440-614
    • Palmer, R.1
  • 35
    • 80053001343 scopus 로고    scopus 로고
    • The structural simulation toolkit
    • March
    • A. F. Rodrigues, et al., "The Structural Simulation Toolkit, " SIGMETRICS Perform. Eval. Rev., vol. 38, pp. 37-42, March 2011.
    • (2011) SIGMETRICS Perform. Eval. Rev. , vol.38 , pp. 37-42
    • Rodrigues, A.F.1
  • 37
    • 33845593340 scopus 로고    scopus 로고
    • A large-scale study of failures in high performance computing systems
    • B. Schroeder and G. A. Gibson, "A Large-scale Study of Failures in High Performance Computing Systems, " in Proceedings of DSN, 2006.
    • (2006) Proceedings of DSN
    • Schroeder, B.1    Gibson, G.A.2
  • 38
    • 79551703768 scopus 로고    scopus 로고
    • DRAM errors in the wild: A large-scale field study
    • B. Schroeder, E. Pinheiro, and W.-D. Weber, "DRAM Errors in The Wild: A Large-Scale Field Study, " Commun. ACM, vol. 54, no. 2, pp. 100-107, 2011.
    • (2011) Commun. ACM , vol.54 , Issue.2 , pp. 100-107
    • Schroeder, B.1    Pinheiro, E.2    Weber, W.-D.3
  • 40
    • 83155174061 scopus 로고    scopus 로고
    • Exascale challenges for the computational science community
    • Oct., UC Berkeley
    • H. Simon, "Exascale Challenges for the Computational Science Community, " Lawrence Berkeley National Laboratory and UC Berkeley, Tech. Rep., Oct. 2010.
    • (2010) Lawrence Berkeley National Laboratory, Tech. Rep.
    • Simon, H.1
  • 41
    • 41649118004 scopus 로고    scopus 로고
    • Impact of error correction code and dynamic memory reconfiguration on high-reliability/low-cost server memory
    • DOI 10.1109/IRWS.2006.305243, 4098720, 2006 IEEE International Integrated Reliability Workshop Final Report, IIRW
    • C. Slayman, M. Ma, and S. Lindley, "Impact of Error Correction Code and Dynamic Memory Reconfiguration on High-Reliability/Low-Cost Server Memory, " in Proceedings of the IEEE Integrated Reliability Workshop, 2006, pp. 190-193. (Pubitemid 351478334)
    • (2006) IEEE International Integrated Reliability Workshop Final Report , pp. 190-193
    • Slayman, C.1    Ma, M.2    Lindley, S.3
  • 43
    • 47049110952 scopus 로고    scopus 로고
    • The area and latency tradeoffs of binary bit-parallelbch decoders for prospective nanoelectronicmemories
    • Oct.
    • D. Strukov, "The Area And Latency Tradeoffs Of Binary Bit-Parallelbch Decoders For Prospective Nanoelectronicmemories, " in Proceedings of 2006 Asilomar Conference on Signals Systems and Computers, Oct. 2006, pp. 1183-1187.
    • (2006) Proceedings of 2006 Asilomar Conference on Signals Systems and Computers , pp. 1183-1187
    • Strukov, D.1
  • 44
    • 27144455625 scopus 로고    scopus 로고
    • Soft errors in electronic memory-A white paper
    • Tezzaron Semiconductor, Technical Report
    • Tezzaron Semiconductor, "Soft Errors in Electronic Memory-A White Paper, " Tezzaron Semiconductor, " Technical Report, 2004.
    • (2004) Tezzaron Semiconductor
  • 45
    • 77954989143 scopus 로고    scopus 로고
    • Rethinking DRAM design and organization for energy-constrained multi-cores
    • A. N. Udipi et al., "Rethinking DRAM Design and Organization for Energy-Constrained Multi-Cores, " in Proceedings of ISCA, 2010.
    • (2010) Proceedings of ISCA
    • Udipi, A.N.1
  • 46
    • 77954995377 scopus 로고    scopus 로고
    • Reducing cache power with low-cost, multi-bit error-correcting codes
    • C. Wilkerson, et al., "Reducing Cache Power With Low-Cost, Multi-Bit Error-Correcting Codes, " in International Symposium on Computer Architecture, 2010, pp. 83-93.
    • (2010) International Symposium on Computer Architecture , pp. 83-93
    • Wilkerson, C.1
  • 47
    • 0029194459 scopus 로고
    • The SPLASH-2 programs: Characterization and methodological considerations
    • S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, "The SPLASH-2 Programs: Characterization and Methodological Considerations, " in ISCA, 1995.
    • (1995) ISCA
    • Woo, S.C.1    Ohara, M.2    Torrie, E.3    Singh, J.P.4    Gupta, A.5
  • 49
    • 84976846528 scopus 로고
    • A first order approximation to the optimum checkpoint interval
    • September
    • J. W. Young, "A First Order Approximation To The Optimum Checkpoint Interval, " Commun. ACM, vol. 17, pp. 530-531, September 1974.
    • (1974) Commun. ACM , vol.17 , pp. 530-531
    • Young, J.W.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.