-
1
-
-
0036866915
-
A power-optimal repeater insertion methodology for global interconnects in nanometer designs
-
K. Banerjee, and A. Mehrotra A power-optimal repeater insertion methodology for global interconnects in nanometer designs IEEE Transactions on Electron Devices 49 11 2002 2001 2007
-
(2002)
IEEE Transactions on Electron Devices
, vol.49
, Issue.11
, pp. 2001-2007
-
-
Banerjee, K.1
Mehrotra, A.2
-
2
-
-
70649107128
-
A communication characterisation of splash-2 and parsec
-
N. Barrow-Williams, C. Fensch, S. Moore, A communication characterisation of splash-2 and parsec, in: Proceedings of the IEEE International Symposium on Workload Characterization, 2009, pp. 8697.
-
(2009)
Proceedings of the IEEE International Symposium on Workload Characterization
, pp. 86-97
-
-
Barrow-Williams, N.1
Fensch, C.2
Moore, S.3
-
3
-
-
34548008288
-
ASR: Adaptive selective replication for CMP caches
-
DOI 10.1109/MICRO.2006.10, 4041867, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
B.M. Beckmann, M.R. Marty, D.A. Wood, Asr: adaptive selective replication for CMP caches, in: Proceedings of the International Symposium on Microarchitecture, 2006, pp. 443454. (Pubitemid 351337017)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 443-454
-
-
Beckmann, B.M.1
Marty, M.R.2
Wood, D.A.3
-
5
-
-
80054683826
-
-
Cacti 6.5, in: http://www.hpl.hp.com/research/cacti/.
-
Cacti 6.5
-
-
-
9
-
-
34547666967
-
An adaptive cache coherence protocol optimized for producer-consumer sharing
-
DOI 10.1109/HPCA.2007.346210, 4147673, 2007 IEEE 13th Annual International Symposium on High Performance Computer Architecture, HPCA-13
-
L. Cheng, J.B. Carter, D. Dai, An adaptive cache coherence protocol optimized for producerconsumer sharing, in: Proceedings of the International Symposium on High Performance Computer Architecture, 2007, pp. 328339. (Pubitemid 47208177)
-
(2007)
Proceedings - International Symposium on High-Performance Computer Architecture
, pp. 328-339
-
-
Cheng, L.1
Carter, J.B.2
Dai, D.3
-
11
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
K. Flautner, N.S. Kim, S. Martin, D. Blaauw, T. Mudge, Drowsy caches: simple techniques for reducing leakage power, in: Proceedings of the International Symposium on Computer Architecture, 2002, pp. 148157. (Pubitemid 34691858)
-
(2002)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
13
-
-
84962779213
-
Mibench: A free, commercially representative embedded benchmark suite
-
M.R. Guthaus, J.S. Ringenberg, D. Ernst, T.M. Austin, T. Mudge, Mibench: a free, commercially representative embedded benchmark suite, in: Proceedings of the International Workshop on Workload Characterization, 2001, pp. 314.
-
(2001)
Proceedings of the International Workshop on Workload Characterization
, pp. 314
-
-
Guthaus, M.R.1
Ringenberg, J.S.2
Ernst, D.3
Austin, T.M.4
Mudge, T.5
-
15
-
-
0034856732
-
Cache decay: Exploiting generational behavior to reduce cache leakage power
-
S. Kaxiras, Z. Hu, M. Martonosi, Cache decay: exploiting generational behavior to reduce cache leakage power, in: Proceedings of the International Symposium on Computer Architecture, 2001, pp. 240251. (Pubitemid 32825409)
-
(2001)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 240-251
-
-
Kaxiras, S.1
Hu, Z.2
Martonosi, M.3
-
16
-
-
77957959177
-
Replication-aware leakage management in chip multiprocessors with private l2 cache
-
H. Kim, J.H. Ahn, J. Kim, Replication-aware leakage management in chip multiprocessors with private l2 cache, in: Proceedings of the International Symposium on Low Power Electronics and Design, 2010, pp. 135140.
-
(2010)
Proceedings of the International Symposium on Low Power Electronics and Design
, pp. 135-140
-
-
Kim, H.1
Ahn, J.H.2
Kim, J.3
-
17
-
-
34548356741
-
CATS: Cycle Accurate Transaction-driven Simulation with multiple processor simulators
-
DOI 10.1109/DATE.2007.364685, 4211890, Proceedings - 2007 Design, Automation and Test in Europe Conference and Exhibition, DATE 2007
-
D. Kim, S. Ha, R. Gupta, Cats: cycle accurate transaction-driven simulation with multiple processor simulators, in: Proceedings of the Design, Automation and Test in Europe, 2007, pp. 749754. (Pubitemid 47334047)
-
(2007)
Proceedings -Design, Automation and Test in Europe, DATE
, pp. 749-754
-
-
Kim, D.1
Ha, S.2
Gupta, R.3
-
18
-
-
0031339427
-
Mediabench: A tool for evaluating and synthesizing multimedia and communications systems
-
C. Lee, M. Potkonjak, W.H. Mangione-Smith, Mediabench: a tool for evaluating and synthesizing multimedia and communications systems, in: Proceedings of the International Symposium on Microarchitecture, 1997, pp. 330335.
-
(1997)
Proceedings of the International Symposium on Microarchitecture
, pp. 330-335
-
-
Lee, C.1
Potkonjak, M.2
Mangione-Smith, W.H.3
-
19
-
-
0031594012
-
Pipeline gating: Speculation control for energy reduction
-
S. Manne, A. Klauser, D. Grunwald, Pipeline gating: speculation control for energy reduction, in: Proceedings of the International Symposium on Computer Architecture, 1998, pp. 132141.
-
(1998)
Proceedings of the International Symposium on Computer Architecture
, pp. 132-141
-
-
Manne, S.1
Klauser, A.2
Grunwald, D.3
-
21
-
-
42549162260
-
Power/performance/thermal design-space exploration for multicore architectures
-
DOI 10.1109/TPDS.2007.70756
-
M. Monchiero, R. Canal, and A. González Power/performance/thermal design-space exploration for multicore architectures IEEE Transactions on Parallel and Distributed Systems 19 5 2008 666 681 (Pubitemid 351583569)
-
(2008)
IEEE Transactions on Parallel and Distributed Systems
, vol.19
, Issue.5
, pp. 666-681
-
-
Monchiero, M.1
Canal, R.2
Gonzalez, A.3
-
22
-
-
77951496804
-
Using coherence information and decay techniques to optimize l2 cache leakage in CMPs
-
M. Monchiero, R. Canal, A. González, Using coherence information and decay techniques to optimize l2 cache leakage in CMPs, in: Proceedings of the International Conference on Parallel Processing, 2009, pp. 18.
-
(2009)
Proceedings of the International Conference on Parallel Processing
, pp. 18
-
-
M. Monchiero1
-
23
-
-
0033672408
-
Gated-vdd: A circuit technique to reduce leakage in deep-submicron cache memories
-
M. Powell, S.-H. Yang, B. Falsafi, K. Roy, T.N. Vijaykumar, Gated-vdd: a circuit technique to reduce leakage in deep-submicron cache memories, in: Proceedings of the International Symposium on Low Power Electronics and Design, 2000, pp. 9095.
-
(2000)
Proceedings of the International Symposium on Low Power Electronics and Design
, pp. 9095
-
-
Powell, M.1
Yang, S.-H.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.N.5
-
25
-
-
80054687896
-
Improving the accuracy of snoop filtering using stream registers
-
V. Salapura, M. Blumrich, A. Gara, Improving the accuracy of snoop filtering using stream registers, in: Proceedings of the Workshop on Memory Performance: Dealing with Applications, Systems and Architecture, 2007, pp. 2543.
-
(2007)
Proceedings of the Workshop on Memory Performance: Dealing with Applications, Systems and Architecture
, pp. 2543
-
-
Salapura, V.1
Blumrich, M.2
Gara, A.3
-
26
-
-
0029179077
-
The splash-2 programs: Characterization and methodological considerations
-
S.C. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta, The splash-2 programs: characterization and methodological considerations, in: Proceedings of the International Symposium on Computer Architecture, 1995, pp. 2436.
-
(1995)
Proceedings of the International Symposium on Computer Architecture
, pp. 2436
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
27
-
-
51549089194
-
Latency and bandwidth efficient communication through system customization for embedded multiprocessors
-
C. Yu, P. Petrov, Latency and bandwidth efficient communication through system customization for embedded multiprocessors, in: Proceedings of the Design Automation Conference, 2008, pp. 766771.
-
(2008)
Proceedings of the Design Automation Conference
, pp. 766-771
-
-
Yu, C.1
Petrov, P.2
-
28
-
-
0344841297
-
Adaptive mode control: A static-power-efficient cache design
-
H. Zhou, M.C. Toburen, E. Rotenberg, and T.M. Conte Adaptive mode control: a static-power-efficient cache design Transactions on Embedded Computing Systems 2 3 2003 347 372
-
(2003)
Transactions on Embedded Computing Systems
, vol.2
, Issue.3
, pp. 347-372
-
-
Zhou, H.1
Toburen, M.C.2
Rotenberg, E.3
Conte, T.M.4
|