-
3
-
-
22944440036
-
High-performance throughput computing
-
S. Chaudhry, P. Caprioli, S. Yip, and M. Tremblay. High-performance throughput computing. IEEE Micro, 25:32-45, 2005.
-
(2005)
IEEE Micro
, vol.25
, pp. 32-45
-
-
Chaudhry, S.1
Caprioli, P.2
Yip, S.3
Tremblay, M.4
-
4
-
-
70450257973
-
Simultaneous speculative threading: A novel pipeline architecture implemented in Sun's rock processor
-
S. Chaudhry, R. Cypher, M. Ekman, M. Karlsson, A. Landin, S. Yip, H. Zeffer, and M. Tremblay. Simultaneous speculative threading: A novel pipeline architecture implemented in Sun's rock processor. In Proceedings of the 36th Annual International Symposium on Computer Architecture, pages 484-495, 2009.
-
(2009)
Proceedings of the 36th Annual International Symposium on Computer Architecture
, pp. 484-495
-
-
Chaudhry, S.1
Cypher, R.2
Ekman, M.3
Karlsson, M.4
Landin, A.5
Yip, S.6
Zeffer, H.7
Tremblay, M.8
-
6
-
-
84976845370
-
Dynamic memory disambiguation using the memory conict buffer
-
D. M. Gallagher, W. Y. Chen, S. A. Mahlke, J. C. Gyllenhaal, and W.-m. W. Hwu. Dynamic memory disambiguation using the memory conict buffer. In Proceedings of the Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, pages 183-193, 1994.
-
(1994)
Proceedings of the Sixth International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 183-193
-
-
Gallagher, D.M.1
Chen, W.Y.2
Mahlke, S.A.3
Gyllenhaal, J.C.4
Hwu, W.-M.W.5
-
7
-
-
0022228187
-
PIPE: A VLSI decoupled architecture
-
J. R. Goodman, J.-t. Hsieh, K. Liou, A. R. Pleszkun, P. B. Schechter, and H. C. Young. PIPE: A VLSI decoupled architecture. In Proceedings of the 12th Annual International Symposium on Computer Architecture, pages 20-27, 1985.
-
(1985)
Proceedings of the 12th Annual International Symposium on Computer Architecture
, pp. 20-27
-
-
Goodman, J.R.1
Hsieh, J.-T.2
Liou, K.3
Pleszkun, A.R.4
Schechter, P.B.5
Young, H.C.6
-
8
-
-
77949624792
-
ICFP: Tolerating all-level cache misses in in-order processors
-
A. Hilton, S. Nagarakatte, and A. Roth. iCFP: Tolerating all-level cache misses in in-order processors. IEEE Micro, 30(1):12-19, 2010.
-
(2010)
IEEE Micro
, vol.30
, Issue.1
, pp. 12-19
-
-
Hilton, A.1
Nagarakatte, S.2
Roth, A.3
-
9
-
-
33646478063
-
Program balance and its impact on high performance RISC architectures
-
L. K. John, V. Reddy, P. T. Hulina, and L. D. Coraor. Program balance and its impact on high performance RISC architectures. In Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture, pages 370-379, 1995.
-
(1995)
Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
, pp. 370-379
-
-
John, L.K.1
Reddy, V.2
Hulina, P.T.3
Coraor, L.D.4
-
10
-
-
70450237431
-
Rigel: An architecture and scalable programming interface for a 1000-core accelerator
-
J. H. Kelm, D. R. Johnson, M. R. Johnson, N. C. Crago, W. Tuohy, A. Mahesri, S. S. Lumetta, M. I. Frank, and S. J. Patel. Rigel: An architecture and scalable programming interface for a 1000-core accelerator. In Proceedings of the 36th Annual International Symposium on Computer Architecture, pages 140-151, 2009.
-
(2009)
Proceedings of the 36th Annual International Symposium on Computer Architecture
, pp. 140-151
-
-
Kelm, J.H.1
Johnson, D.R.2
Johnson, M.R.3
Crago, N.C.4
Tuohy, W.5
Mahesri, A.6
Lumetta, S.S.7
Frank, M.I.8
Patel, S.J.9
-
13
-
-
44849137198
-
NVIDIA Tesla: A unified graphics and computing architecture
-
E. Lindholm, J. Nickolls, S. Oberman, and J. Montrym. NVIDIA Tesla: A unified graphics and computing architecture. IEEE Micro, 28(2):39-55, 2008.
-
(2008)
IEEE Micro
, vol.28
, Issue.2
, pp. 39-55
-
-
Lindholm, E.1
Nickolls, J.2
Oberman, S.3
Montrym, J.4
-
14
-
-
0034839064
-
Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors
-
C.-K. Luk. Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors. In Proceedings of the 28th Annual International Symposium on Computer Architecture, pages 40-51, 2001.
-
(2001)
Proceedings of the 28th Annual International Symposium on Computer Architecture
, pp. 40-51
-
-
Luk, C.-K.1
-
17
-
-
33644903196
-
Effcient runahead execution: Power-effcient memory latency tolerance
-
O. Mutlu, H. Kim, and Y. N. Patt. Effcient runahead execution: Power-effcient memory latency tolerance. IEEE Micro, 26:10-20, 2006.
-
(2006)
IEEE Micro
, vol.26
, pp. 10-20
-
-
Mutlu, O.1
Kim, H.2
Patt, Y.N.3
-
18
-
-
33749375700
-
Automatic thread extraction with decoupled software pipelining
-
G. Ottoni, R. Rangan, A. Stoler, and D. I. August. Automatic thread extraction with decoupled software pipelining. In Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture, pages 105-118, 2005.
-
(2005)
Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 105-118
-
-
Ottoni, G.1
Rangan, R.2
Stoler, A.3
August, D.I.4
-
19
-
-
0035481610
-
Improving latency tolerance of multithreading through decoupling
-
J.-M. Parcerisa and A. Gonzalez. Improving latency tolerance of multithreading through decoupling. IEEE Transactions on Computers, 50(10):1084-1094, 2001.
-
(2001)
IEEE Transactions on Computers
, vol.50
, Issue.10
, pp. 1084-1094
-
-
Parcerisa, J.-M.1
Gonzalez, A.2
-
20
-
-
51149117060
-
Performance scalability of decoupled software pipelining
-
R. Rangan, N. Vachharajani, G. Ottoni, and D. I. August. Performance scalability of decoupled software pipelining. ACM Transactions on Architecture and Code Optimization, 5(2):8:1-8:25, 2008.
-
(2008)
ACM Transactions on Architecture and Code Optimization
, vol.5
, Issue.2
, pp. 81-825
-
-
Rangan, R.1
Vachharajani, N.2
Ottoni, G.3
August, D.I.4
-
22
-
-
70349285149
-
A 45nm 8-core enterprise Xeon processor
-
S. Rusu, S. Tam, H. Muljono, J. Stinson, D. Ayers, J. Chang, R. Varada, M. Ratta, and S. Kottapalli. A 45nm 8-core enterprise Xeon processor. In IEEE International Solid-State Circuits Conference, pages 56-57, 2009.
-
(2009)
IEEE International Solid-State Circuits Conference
, pp. 56-57
-
-
Rusu, S.1
Tam, S.2
Muljono, H.3
Stinson, J.4
Ayers, D.5
Chang, J.6
Varada, R.7
Ratta, M.8
Kottapalli, S.9
-
23
-
-
77952200539
-
A 40nm 16-core 128-thread CMT SPARC SoC processor
-
J. Shin, K. Tam, D. Huang, B. Petrick, H. Pham, C. Hwang, H. Li, A. Smith, T. Johnson, F. Schumacher, D. Greenhill, A. Leon, and A. Strong. A 40nm 16-core 128-thread CMT SPARC SoC processor. In IEEE International Solid-State Circuits Conference, pages 98-99, 2010.
-
(2010)
IEEE International Solid-State Circuits Conference
, pp. 98-99
-
-
Shin, J.1
Tam, K.2
Huang, D.3
Petrick, B.4
Pham, H.5
Hwang, C.6
Li, H.7
Smith, A.8
Johnson, T.9
Schumacher, F.10
Greenhill, D.11
Leon, A.12
Strong, A.13
-
25
-
-
0023589507
-
The zs-1 central processor
-
J. E. Smith, G. E. Dermer, B. D. Vanderwarn, S. D. Klinger, and C. M. Rozewski. The zs-1 central processor. In Proceedings of the Second International Conference on Architectural Support for Programming Languages and Operating Systems, pages 199-204, 1987.
-
(1987)
Proceedings of the Second International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 199-204
-
-
Smith, J.E.1
Dermer, G.E.2
Vanderwarn, B.D.3
Klinger, S.D.4
Rozewski, C.M.5
-
26
-
-
0029431192
-
Compiling and optimizing for decoupled architectures
-
N. Topham, A. Rawsthorne, C. McLean, M. Mewissen, and P. Bird. Compiling and optimizing for decoupled architectures. In Proceedings of the 1995 ACM/IEEE Conference on Supercomputing, page 40, 1995.
-
(1995)
Proceedings of the 1995 ACM/IEEE Conference on Supercomputing
, pp. 40
-
-
Topham, N.1
Rawsthorne, A.2
McLean, C.3
Mewissen, M.4
Bird, P.5
-
29
-
-
77952574782
-
Architecting for power management: The IBM POWER7 approach
-
M. Ware, K. Rajamani, M. Floyd, B. Brock, J. Rubio, F. Rawson, and J. Carter. Architecting for power management: The IBM POWER7 approach. In IEEE 16th International Symposium on High-performance Computer Architecture, pages 1-11, 2010.
-
(2010)
IEEE 16th International Symposium on High-performance Computer Architecture
, pp. 1-11
-
-
Ware, M.1
Rajamani, K.2
Floyd, M.3
Brock, B.4
Rubio, J.5
Rawson, F.6
Carter, J.7
|