-
1
-
-
34548023929
-
Cooperative cache partitioning for chip multiprocessors
-
New York, NY, USA, ACM.
-
J. Chang and G. S. Sohi. Cooperative cache partitioning for chip multiprocessors. In ICS '07: Proceedings of the 21st annual international conference on Supercomputing, pages 242-252, New York, NY, USA, 2007. ACM.
-
(2007)
ICS '07: Proceedings of the 21st Annual International Conference on Supercomputing
, pp. 242-252
-
-
Chang, J.1
Sohi, G.S.2
-
2
-
-
4644226058
-
Microarchitecture optimizations for exploiting memory-level parallelism
-
Y. Chou, B. Fahs, and S. G. Abraham. Microarchitecture optimizations for exploiting memory-level parallelism. In ISCA, pages 76-89, 2004.
-
(2004)
ISCA
, pp. 76-89
-
-
Chou, Y.1
Fahs, B.2
Abraham, S.G.3
-
3
-
-
67650809018
-
Per-thread cycle accounting in smt processors
-
New York, NY, USA, ACM.
-
S. Eyerman and L. Eeckhout. Per-thread cycle accounting in smt processors. In ASPLOS '09: Proceeding of the 14th international conference on Architectural support for programming languages and operating systems, pages 133-144, New York, NY, USA, 2009. ACM.
-
(2009)
ASPLOS '09: Proceeding of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 133-144
-
-
Eyerman, S.1
Eeckhout, L.2
-
4
-
-
47349085427
-
A framework for providing quality of service in chip multi-processors
-
F. Guo, Y. Solihin, L. Zhao, and R. Iyer. A framework for providing quality of service in chip multi-processors. In MICRO, pages 343-355, 2007.
-
(2007)
MICRO
, pp. 343-355
-
-
Guo, F.1
Solihin, Y.2
Zhao, L.3
Iyer, R.4
-
5
-
-
4644299010
-
A first-order superscalar processor model
-
Washington, DC, USA, IEEE Computer Society.
-
T. S. Karkhanis and J. E. Smith. A first-order superscalar processor model. In ISCA '04: Proceedings of the 31st annual international symposium on Computer architecture, page 338, Washington, DC, USA, 2004. IEEE Computer Society.
-
(2004)
ISCA '04: Proceedings of the 31st Annual International Symposium on Computer Architecture
, pp. 338
-
-
Karkhanis, T.S.1
Smith, J.E.2
-
6
-
-
10444238444
-
Fair cache sharing and partitioning in a chip multiprocessor architecture
-
S. Kim D. Ch, and Y. Solihin. Fair cache sharing and partitioning in a chip multiprocessor architecture. In IEEE PACT, pages 111-122, 2004.
-
(2004)
IEEE PACT
, pp. 111-122
-
-
Kim, S.1
Ch, D.2
Solihin, Y.3
-
7
-
-
57749186047
-
Gaining insights into multi-core cache partitioning: Bridging the gap between simulation and real systems
-
J. Lin, Q. Lu, X. Ding, Z. Zhang, and X. Zhang. Gaining insights into multi-core cache partitioning: Bridging the gap between simulation and real systems. In In HPCA '08: Proceedings of the 14th International Symposium on High-Performance Computer Architecture, 2008.
-
(2008)
HPCA '08: Proceedings of the 14th International Symposium on High-Performance Computer Architecture
-
-
Lin, J.1
Lu, Q.2
Ding, X.3
Zhang, Z.4
Zhang, X.5
-
8
-
-
0036469676
-
Simics: A full system simulation platform
-
P. S. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg, J. Hogberg, F. Larsson, A. Moestedt, B. Werner, and B. Werner. Simics: A full system simulation platform. Computer, 35(2):50-58, 2002.
-
(2002)
Computer
, vol.35
, Issue.2
, pp. 50-58
-
-
Magnusson, P.S.1
Christensson, M.2
Eskilson, J.3
Forsgren, D.4
Hallberg, G.5
Hogberg, J.6
Larsson, F.7
Moestedt, A.8
Werner, B.9
Werner, B.10
-
9
-
-
33748870886
-
Multifacets general execution-driven multiprocessor simulator (gems) toolset
-
M.M. K. Martin, D. J. Sorin, B. M. Beckmann, M. R. Marty, M. Xu, A. R. Alameldeen, K. E. Moore, M. D. Hill, and D. A. Wood. Multifacets general execution-driven multiprocessor simulator (gems) toolset. SIGARCH Comput. Archit. News, 33:2005, 2005.
-
(2005)
SIGARCH Comput. Archit. News
, vol.33
, pp. 2005
-
-
Martin, M.M.K.1
Sorin, D.J.2
Beckmann, B.M.3
Marty, M.R.4
Xu, M.5
Alameldeen, A.R.6
Moore, K.E.7
Hill, M.D.8
Wood, D.A.9
-
10
-
-
27144551353
-
Using simpoint for accurate and efficient simulation
-
E. Perelman, G. Hamerly, M. V. Biesbrouck, T. Sherwood, and B. Calder. Using simpoint for accurate and efficient simulation. In ACM SIGMETRICS Performance Evaluation Review, pages 318-319, 2003.
-
(2003)
ACM SIGMETRICS Performance Evaluation Review
, pp. 318-319
-
-
Perelman, E.1
Hamerly, G.2
Biesbrouck, M.V.3
Sherwood, T.4
Calder, B.5
-
11
-
-
64949187933
-
Adaptive spill-receive for robust highperformance caching in cmps
-
IEEE 15th International Symposium on, Feb.
-
M. Qureshi. Adaptive spill-receive for robust highperformance caching in cmps. In High Performance Computer Architecture, 2009. HPCA 2009. IEEE 15th International Symposium on, pages 45-54, Feb. 2009.
-
(2009)
High Performance Computer Architecture, 2009. HPCA 2009
, pp. 45-54
-
-
Qureshi, M.1
-
12
-
-
33845874613
-
A case for mlp-aware cache replacement
-
M. K. Qureshi, D. N. Lynch, O. Mutlu, and Y. N. Patt. A case for mlp-aware cache replacement. In ISCA-33, pages 167-178, 2006.
-
(2006)
ISCA-33
, pp. 167-178
-
-
Qureshi, M.K.1
Lynch, D.N.2
Mutlu, O.3
Patt, Y.N.4
-
13
-
-
34548042910
-
Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches
-
M. K. Qureshi and Y. N. Patt. Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches. In MICRO-39, pages 423-432, 2006.
-
(2006)
MICRO-39
, pp. 423-432
-
-
Qureshi, M.K.1
Patt, Y.N.2
-
14
-
-
34247108325
-
Architectural support for operating system-driven cmp cache management
-
New York, NY, USA, ACM.
-
N. Rafique, W.-T. Lim, and M. Thottethodi. Architectural support for operating system-driven cmp cache management. In PACT '06: Proceedings of the 15th international conference on Parallel architectures and compilation techniques, pages 2-12, New York, NY, USA, 2006. ACM.
-
(2006)
PACT '06: Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques
, pp. 2-12
-
-
Rafique, N.1
Lim, W.-T.2
Thottethodi, M.3
|