-
1
-
-
0036149420
-
Networks on chips: a new soc paradigm
-
Benini L., and DeMicheli G. Networks on chips: a new soc paradigm. IEEE Computer 1 (2002) 70-78
-
(2002)
IEEE Computer
, vol.1
, pp. 70-78
-
-
Benini, L.1
DeMicheli, G.2
-
2
-
-
14844365666
-
Noc synthesis flow for customized domain specific multiprocessor systems-on-chip
-
Bertozzi D., Jalabert A., Murali S., Tamhankar R., Stergiou S., Benini L., and DeMicheli G. Noc synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Transactions on Parallel and Distributed Systems (2005) 113-129
-
(2005)
IEEE Transactions on Parallel and Distributed Systems
, pp. 113-129
-
-
Bertozzi, D.1
Jalabert, A.2
Murali, S.3
Tamhankar, R.4
Stergiou, S.5
Benini, L.6
DeMicheli, G.7
-
3
-
-
0029254155
-
Myrinet - a gigabit-per-second local area network
-
Boden N., Cohen D., Felderman R., Kulawik A., Seitz C., Seizovic J., and Su W.-K. Myrinet - a gigabit-per-second local area network. IEEE Micro 15 February (1995) 29-36
-
(1995)
IEEE Micro
, vol.15
, Issue.February
, pp. 29-36
-
-
Boden, N.1
Cohen, D.2
Felderman, R.3
Kulawik, A.4
Seitz, C.5
Seizovic, J.6
Su, W.-K.7
-
4
-
-
43049107930
-
-
Cadence, The cadence virtual component co-design (vcc), .
-
Cadence, The cadence virtual component co-design (vcc), .
-
-
-
-
5
-
-
21244433563
-
-
M. Coppola, R. Locatelli, G. Maruccia, L. Pieralisi, A. Scandurra, Spidergon: a novel on-chip communication network, in: Proceedings of the 2004 International Symposium on System-on-Chip (ISSOC 2004) November 2004, p. 15.
-
M. Coppola, R. Locatelli, G. Maruccia, L. Pieralisi, A. Scandurra, Spidergon: a novel on-chip communication network, in: Proceedings of the 2004 International Symposium on System-on-Chip (ISSOC 2004) November 2004, p. 15.
-
-
-
-
6
-
-
0023346637
-
Deadlock-free message routing in multiprocessor interconnection networks
-
Dally W., and Seitz C. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Transactions on Computers (1997) 547-553
-
(1997)
IEEE Transactions on Computers
, pp. 547-553
-
-
Dally, W.1
Seitz, C.2
-
7
-
-
0034848112
-
-
W. Dally, B. Towles, Route packets, not wires: On-chip interconnection networks, in: Proceedings of the 2001 Design Automation Conference (DAC 2001), 2001, pp. 683-689.
-
W. Dally, B. Towles, Route packets, not wires: On-chip interconnection networks, in: Proceedings of the 2001 Design Automation Conference (DAC 2001), 2001, pp. 683-689.
-
-
-
-
8
-
-
0031681657
-
-
R. Dick, D. Rhodes, W. Wolf, Tgff: task graphs for free, in: 6th International Workshop on Hardware/Software Co-design, 1998.
-
R. Dick, D. Rhodes, W. Wolf, Tgff: task graphs for free, in: 6th International Workshop on Hardware/Software Co-design, 1998.
-
-
-
-
9
-
-
0012441737
-
-
Morgan Kaufman Publishers, San Francisco, USA
-
Duato J., Yalamanchili S., and Ni L. Interconnection Networks - An Engineering Approach (2003), Morgan Kaufman Publishers, San Francisco, USA
-
(2003)
Interconnection Networks - An Engineering Approach
-
-
Duato, J.1
Yalamanchili, S.2
Ni, L.3
-
10
-
-
0026867329
-
-
C. Glass, L. Ni, The turn model for adaptive routing, in: Proceedings of the 19th International Symposium on Computer Architecture, May 1992, pp. 278-287.
-
C. Glass, L. Ni, The turn model for adaptive routing, in: Proceedings of the 19th International Symposium on Computer Architecture, May 1992, pp. 278-287.
-
-
-
-
11
-
-
84893687806
-
-
P. Guerrier, A. Greiner, A generic architecture for on-chip packet-switched interconnections, in: Proceedings of the 2000 Design, Automation and Test in Europe (DATE'00), March 2000, pp. 250-256.
-
P. Guerrier, A. Greiner, A generic architecture for on-chip packet-switched interconnections, in: Proceedings of the 2000 Design, Automation and Test in Europe (DATE'00), March 2000, pp. 250-256.
-
-
-
-
12
-
-
24144490066
-
Designing and implementing a fast crossbar scheduler
-
Gupta P., and McKeown N. Designing and implementing a fast crossbar scheduler. IEEE Micro 1 January (1999) 20-28
-
(1999)
IEEE Micro
, vol.1
, Issue.January
, pp. 20-28
-
-
Gupta, P.1
McKeown, N.2
-
13
-
-
84955516546
-
-
W.H. Ho, T.M. Pinkston, A methodology for designing efficient on-chip interconnects on well-behaved communication patterns, in: Proceedings of the 9th International Symposium on High-Performance Computer Architecture, 2003.
-
W.H. Ho, T.M. Pinkston, A methodology for designing efficient on-chip interconnects on well-behaved communication patterns, in: Proceedings of the 9th International Symposium on High-Performance Computer Architecture, 2003.
-
-
-
-
14
-
-
0029709951
-
-
R. Horst, Servernet deadlock avoidance and fractahedral topologies, in: Proceedings of the 1996 International Parallel Processing Symp., April 1996, pp. 274-280.
-
R. Horst, Servernet deadlock avoidance and fractahedral topologies, in: Proceedings of the 1996 International Parallel Processing Symp., April 1996, pp. 274-280.
-
-
-
-
16
-
-
0020904262
-
Performance analysis of a packet switch based on single-buffered banyan network
-
Jenq Y.C. Performance analysis of a packet switch based on single-buffered banyan network. IEEE Journal on Selected Areas in Communications 1 6 (1983) 1014-1021
-
(1983)
IEEE Journal on Selected Areas in Communications
, vol.1
, Issue.6
, pp. 1014-1021
-
-
Jenq, Y.C.1
-
17
-
-
0023670354
-
Input versus output queueing on a space-division packet switch
-
Karol M.J., Hluchyj M.G., and Morgan S.P. Input versus output queueing on a space-division packet switch. IEEE Transactions on Communications 35 December (1987) 1347-1356
-
(1987)
IEEE Transactions on Communications
, vol.35
, Issue.December
, pp. 1347-1356
-
-
Karol, M.J.1
Hluchyj, M.G.2
Morgan, S.P.3
-
18
-
-
0025434027
-
Performance of buffered banyan networks under nonuniform traffic patterns
-
Kim H.S., and Leon-Garcia A. Performance of buffered banyan networks under nonuniform traffic patterns. IEEE Transactions on Communications 38 May (1990) 648-658
-
(1990)
IEEE Transactions on Communications
, vol.38
, Issue.May
, pp. 648-658
-
-
Kim, H.S.1
Leon-Garcia, A.2
-
19
-
-
84948696213
-
-
S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J. Öberg, K. Tiensyrjä, A. Hemani, A network on chip architecture and design methodology, in: IEEE Computer Society Annual Symposium on VLSI, April 2002, pp. 105-112.
-
S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J. Öberg, K. Tiensyrjä, A. Hemani, A network on chip architecture and design methodology, in: IEEE Computer Society Annual Symposium on VLSI, April 2002, pp. 105-112.
-
-
-
-
21
-
-
84944322013
-
-
T. Lei and S. Kumar, A two-step genetic algorithm for mapping task graphs to a network on chip architecture, in: Proceedings of the Euromicro Symposium on Digital System Design (DSD03), 2003, pp. 180-187.
-
T. Lei and S. Kumar, A two-step genetic algorithm for mapping task graphs to a network on chip architecture, in: Proceedings of the Euromicro Symposium on Digital System Design (DSD03), 2003, pp. 180-187.
-
-
-
-
23
-
-
43049121998
-
-
N. McKeown, Scheduling algorithms for input-queued cell switches. PhD thesis, University of California, Berkeley, 1995.
-
N. McKeown, Scheduling algorithms for input-queued cell switches. PhD thesis, University of California, Berkeley, 1995.
-
-
-
-
24
-
-
3042740415
-
-
M. Millberg, E. Nilsson, R. Thid, A. Jantsch, Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip, in: Proceedings of the 2004 Design, Automation and Test in Europe (DATE'04), March 2004.
-
M. Millberg, E. Nilsson, R. Thid, A. Jantsch, Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip, in: Proceedings of the 2004 Design, Automation and Test in Europe (DATE'04), March 2004.
-
-
-
-
25
-
-
3042567207
-
-
S. Murali, G. DeMicheli, Bandwidth-constrained mappings of cores onto noc architectures, in: Proceedings of the 2004 Design, Automation and Test in Europe (DATE'04), 2004.
-
S. Murali, G. DeMicheli, Bandwidth-constrained mappings of cores onto noc architectures, in: Proceedings of the 2004 Design, Automation and Test in Europe (DATE'04), 2004.
-
-
-
-
26
-
-
4444335188
-
-
S. Murali, G. DeMicheli, Sunmap: a tool for automatic topology selection and generation for nocs, in: Proceedings of the 2004 Design Automation Conference (DAC 2004), 2004, pp. 914-919.
-
S. Murali, G. DeMicheli, Sunmap: a tool for automatic topology selection and generation for nocs, in: Proceedings of the 2004 Design Automation Conference (DAC 2004), 2004, pp. 914-919.
-
-
-
-
27
-
-
84943681390
-
A survey of wormhole routing techniques in direct networks
-
Ni L., and McKinley P. A survey of wormhole routing techniques in direct networks. Computer 26 February (1993) 62-76
-
(1993)
Computer
, vol.26
, Issue.February
, pp. 62-76
-
-
Ni, L.1
McKinley, P.2
-
28
-
-
33751395684
-
-
U. Ogras, R. Marculescu, Application-specific network-on-chip architecture customization via long-range link insertion, in: 2005 International Conference on Computer-Aided Design (ICCAD'05), 2005, pp. 246-253.
-
U. Ogras, R. Marculescu, Application-specific network-on-chip architecture customization via long-range link insertion, in: 2005 International Conference on Computer-Aided Design (ICCAD'05), 2005, pp. 246-253.
-
-
-
-
29
-
-
0019625072
-
Performance of processor-memory interconnections for multiprocessors
-
Patel J. Performance of processor-memory interconnections for multiprocessors. IEEE Transactions on Computers 30 October (1981) 771-780
-
(1981)
IEEE Transactions on Computers
, vol.30
, Issue.October
, pp. 771-780
-
-
Patel, J.1
-
30
-
-
0030713507
-
-
T.M. Pinkston, S.Warnakulasuriya, On deadlocks in interconnection networks, in: Proceedings of the 24th Annual International Symposium on Computer Architecture, 1997.
-
T.M. Pinkston, S.Warnakulasuriya, On deadlocks in interconnection networks, in: Proceedings of the 24th Annual International Symposium on Computer Architecture, 1997.
-
-
-
-
31
-
-
0033220903
-
Adaptive-trail routing and performance evaluation in irregular networks using cut-through switches
-
Qiao W., Ni L.M., and Rokicki T. Adaptive-trail routing and performance evaluation in irregular networks using cut-through switches. IEEE Transactions on Parallel and Distributed Systems (1999) 1138-1158
-
(1999)
IEEE Transactions on Parallel and Distributed Systems
, pp. 1138-1158
-
-
Qiao, W.1
Ni, L.M.2
Rokicki, T.3
-
32
-
-
84893753441
-
-
E. Rijpkema, K. Goossens, A. Rǎdulescu, J. Dielissen, J. van Meerbergen, P. Wielage, E. Waterlander, Trade offs in the design of a router with both guaranteed and best-effort services for networks on chip, in: Proceedings of the 2003 Design Automation Conference (DAC 2003), 2003.
-
E. Rijpkema, K. Goossens, A. Rǎdulescu, J. Dielissen, J. van Meerbergen, P. Wielage, E. Waterlander, Trade offs in the design of a router with both guaranteed and best-effort services for networks on chip, in: Proceedings of the 2003 Design Automation Conference (DAC 2003), 2003.
-
-
-
-
33
-
-
43049110926
-
-
J. Saxe, Embeddability of graphs in k-space is strongly np-hard, in: Proceedings of the 17th Allerton Conference Comm., Control and Computing, 1979, pp. 480-489.
-
J. Saxe, Embeddability of graphs in k-space is strongly np-hard, in: Proceedings of the 17th Allerton Conference Comm., Control and Computing, 1979, pp. 480-489.
-
-
-
-
34
-
-
43049085781
-
-
M. Schroeder, A. Birrell, M. Burrows, H. Murray, R. Needham, T. Rodeheffer, E. Satterhwaite, C. Thacker, Autonet: a high-speed, self-configuring local area network using point-to-point links, April 1990.
-
M. Schroeder, A. Birrell, M. Burrows, H. Murray, R. Needham, T. Rodeheffer, E. Satterhwaite, C. Thacker, Autonet: a high-speed, self-configuring local area network using point-to-point links, April 1990.
-
-
-
-
35
-
-
43049128053
-
-
F. Silla, Routing and flow control in networks of workstations. PhD thesis, University of Politécnia de Valencia, Spain, 1998.
-
F. Silla, Routing and flow control in networks of workstations. PhD thesis, University of Politécnia de Valencia, Spain, 1998.
-
-
-
-
36
-
-
43049141036
-
-
S. Warnakulasuriya and T.M. Pinkston, Characterization of deadlocks in irregular networks, in: Proceedings of the 1999 International Conference on Parallel Processing, 1999.
-
S. Warnakulasuriya and T.M. Pinkston, Characterization of deadlocks in irregular networks, in: Proceedings of the 1999 International Conference on Parallel Processing, 1999.
-
-
-
|