메뉴 건너뛰기




Volumn 2006, Issue , 2006, Pages 9-20

The potential of the cell processor for scientific computing

Author keywords

Cell processor; FFT; GEMM; Sparse matrix; SpMV; Stencil; Three level memory

Indexed keywords

PROGRAMMING CELLS; SCIENTIFIC COMPUTATION; SPARSE MATRIX; THREE LEVEL MEMORY;

EID: 34247349114     PISSN: None     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1145/1128022.1128027     Document Type: Conference Paper
Times cited : (235)

References (32)
  • 1
    • 0002924006 scopus 로고    scopus 로고
    • Segmented operations for sparse matrix computation on vector multiprocessors
    • Technical Report CMU-CS-93-173, CMU, 1993
    • G. Blelloch, M. Heroux, and M. Zagha. Segmented operations for sparse matrix computation on vector multiprocessors. Technical Report CMU-CS-93-173, CMU, 1993.
    • Blelloch, G.1    Heroux, M.2    Zagha, M.3
  • 2
    • 34247324646 scopus 로고    scopus 로고
    • home
    • Cactus homepage. http://www.cactuscode.org.
    • Cactus
  • 5
    • 34247354041 scopus 로고    scopus 로고
    • home
    • Chombo homepage. http://seesar.Ibl.gov/anag/chombo.
    • Chombo
  • 8
    • 27644524078 scopus 로고    scopus 로고
    • A streaming processor unit for a cell processor
    • February
    • B. Flachs, S. Asano, S. Dhong, et al. A streaming processor unit for a cell processor. ISSCC Dig. Tech. Papers, pages 134-135, February 2005.
    • (2005) ISSCC Dig. Tech. Papers , pp. 134-135
    • Flachs, B.1    Asano, S.2    Dhong, S.3
  • 12
    • 34247383861 scopus 로고    scopus 로고
    • The Berkeley Intelligent RAM (IRAM) Project
    • The Berkeley Intelligent RAM (IRAM) Project. http://iram.cs.berkeley.edu.
  • 13
    • 34547524504 scopus 로고    scopus 로고
    • Increasing temporal locality with skewing and recursive blocking
    • G. Jin, J. Mellor-Crummey, and R. Fowlerothers. Increasing temporal locality with skewing and recursive blocking. In Proc. SC2001, 2001.
    • (2001) Proc. SC2001
    • Jin, G.1    Mellor-Crummey, J.2    Fowlerothers, R.3
  • 14
    • 25844503119 scopus 로고    scopus 로고
    • Introduction to the cell multiprocessor
    • J. Kahle, M. Day, H. Hofstee, et al. Introduction to the cell multiprocessor. IBM Journal of R&D, 49(4), 2005.
    • (2005) IBM Journal of R&D , vol.49 , Issue.4
    • Kahle, J.1    Day, M.2    Hofstee, H.3
  • 15
    • 84958661690 scopus 로고    scopus 로고
    • Impact of modern memory subsystems on cache optimizations for stencil computations
    • June
    • S. Kamil, P. Husbands, L. Oliker, et al. Impact of modern memory subsystems on cache optimizations for stencil computations. In ACM Workshop on Memory System Performance, June 2005.
    • (2005) ACM Workshop on Memory System Performance
    • Kamil, S.1    Husbands, P.2    Oliker, L.3
  • 17
    • 3242723946 scopus 로고    scopus 로고
    • An equal area comparison of embedded dram and sram memory architectures for a chip multiprocessor
    • Technical report, HP Laboratories, April
    • P. Keltcher, S. Richardson, S. Siu, et al. An equal area comparison of embedded dram and sram memory architectures for a chip multiprocessor. Technical report, HP Laboratories, April 2000.
    • (2000)
    • Keltcher, P.1    Richardson, S.2    Siu, S.3
  • 18
    • 0035271572 scopus 로고    scopus 로고
    • Imagine: Media processing with streams
    • March-April
    • B. Khailany, W. Dally, S. Rixner, et al. Imagine: Media processing with streams. IEEE Micro, 21(2), March-April 2001.
    • (2001) IEEE Micro , vol.21 , Issue.2
    • Khailany, B.1    Dally, W.2    Rixner, S.3
  • 20
    • 12944288247 scopus 로고    scopus 로고
    • Vector unit architecture for emotion synthesis
    • March
    • A. Kunimatsu, N. Ide, T. Sato, et al. Vector unit architecture for emotion synthesis. IEEE Micro, 20(2), March 2000.
    • (2000) IEEE Micro , vol.20 , Issue.2
    • Kunimatsu, A.1    Ide, N.2    Sato, T.3
  • 23
    • 0033341604 scopus 로고    scopus 로고
    • Designing and programming the emotion engine
    • November
    • M. Oka and M. Suzuoki. Designing and programming the emotion engine. IEEE Micro, 19(6), November 1999.
    • (1999) IEEE Micro , vol.19 , Issue.6
    • Oka, M.1    Suzuoki, M.2
  • 27
    • 27344435504 scopus 로고    scopus 로고
    • The design and implementation of a first-generation cell processor
    • February
    • D. Pham, S. Asano, M. Bollier, et al. The design and implementation of a first-generation cell processor. ISSCC Dig. Tech. Papers, pages 184-185, February 2005.
    • (2005) ISSCC Dig. Tech. Papers , pp. 184-185
    • Pham, D.1    Asano, S.2    Bollier, M.3
  • 28
    • 84860945958 scopus 로고    scopus 로고
    • Sony press release, http://www.scei.co.jp/corporate/release/pdf/050517e. pdf.
    • Sony press release
  • 29
    • 18344409970 scopus 로고    scopus 로고
    • A microprocessor with a 128-bit cpu, ten floating point macs, four floating-point dividers, and an mpeg-2 decoder
    • November
    • M. Suzuoki et al. A microprocessor with a 128-bit cpu, ten floating point macs, four floating-point dividers, and an mpeg-2 decoder. IEEE Solid State Circuits, 34(1), November 1999.
    • (1999) IEEE Solid State Circuits , vol.34 , Issue.1
    • Suzuoki, M.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.