-
1
-
-
0029547914
-
Interconnect scaling - The real limiter to high-performance ULSI
-
Dec
-
M. Bohr, "Interconnect Scaling - The Real Limiter to High-Performance ULSI," in Tech. Dig. of the International Electron Devices Meeting, pp. 241-244, Dec. 1995.
-
(1995)
Tech. Dig. of the International Electron Devices Meeting
, pp. 241-244
-
-
Bohr, M.1
-
2
-
-
33646922057
-
-
IEEE, April
-
R. Ho, K. W. Mai, and M. A. Horowitz, "The Future of Wires," in IEEE, vol, 89, pp. 490-504, April 2001.
-
(2001)
The Future of Wires
, vol.89
, pp. 490-504
-
-
Ho, R.1
Mai, K.W.2
Horowitz, M.A.3
-
3
-
-
0000090413
-
An interconnect-centric design flow for nanometer technologies
-
April
-
J. Cong, "An Interconnect-Centric Design Flow for Nanometer Technologies," in Proceedings of IEEE, pp. 505-527, April 2001.
-
(2001)
Proceedings of IEEE
, pp. 505-527
-
-
Cong, J.1
-
4
-
-
0003278283
-
The microarchitecture of the pentium 4 processor
-
G. Hinton, D. Sager, M. Upton, D. Boggs, D. Carmean, A. Kyker, and P. Roussel, "The Microarchitecture of the Pentium 4 Processor," Intel Technology Journal QI, 2001.
-
(2001)
Intel Technology Journal QI
-
-
Hinton, G.1
Sager, D.2
Upton, M.3
Boggs, D.4
Carmean, D.5
Kyker, A.6
Roussel, P.7
-
6
-
-
0043092230
-
Microarchitecture evaluation with physical planning
-
June
-
J. Cong, A. Jagannathan, G. Reinman, and M. Romesis, " Microarchitecture Evaluation With Physical Planning," in Design Automation Conference, pp. 32-35, June 2003.
-
(2003)
Design Automation Conference
, pp. 32-35
-
-
Cong, J.1
Jagannathan, A.2
Reinman, G.3
Romesis, M.4
-
8
-
-
4444333238
-
Prole-Guided microarchitecture floorplanning for deep submicron processor design
-
June
-
M. Ekpanyapong, J. R. Minz, T. Watewai, H.-H. S. Lee, and S. K. Urn, "Prole-Guided Microarchitecture Floorplanning For Deep Submicron Processor Design," in Design Automation Conference, June 2004.
-
(2004)
Design Automation Conference
-
-
Ekpanyapong, M.1
Minz, J.R.2
Watewai, T.3
Lee, H.-H.S.4
Urn, S.K.5
-
9
-
-
4444229177
-
Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects
-
June
-
C. Long, L. J. Simonson, W. Liao, and L. He, "Floorplanning Optimization with Trajectory Piecewise-Linear Model for Pipelined Interconnects," in Design Automation Conference, June 2004.
-
(2004)
Design Automation Conference
-
-
Long, C.1
Simonson, L.J.2
Liao, W.3
He, L.4
-
10
-
-
0037322638
-
A trajectory piecewise linear approach to model order reduction and fast simulation of nonlinear circuits and micromachined devices
-
M. Rewienski and J. White, "A Trajectory Piecewise Linear Approach to Model Order Reduction and Fast Simulation of Nonlinear Circuits and Micromachined Devices," in IEEE Trans, on Computer Aided Design, pp. 155 - 170, 2003.
-
(2003)
IEEE Trans, on Computer Aided Design
, pp. 155-170
-
-
Rewienski, M.1
White, J.2
-
11
-
-
84949754375
-
Loose loops sink chips
-
Jan
-
E. Borch, E. Tune, S, Marine, and J. Emer, "Loose Loops Sink Chips," in Proc. of 8th International Symposium on High-Performance Computer Architecture, Jan 2002.
-
(2002)
Proc. of 8th International Symposium on High-Performance Computer Architecture
-
-
Borch, E.1
Tune, E.2
Marine, S.3
Emer, J.4
-
12
-
-
0036287089
-
The optimal logic depth per pipeline stage is 6 to 8 FO4 inverter delays
-
May
-
M. Hrishikesh, K. Farkas, N. Jouppi, D. Burger, S. Keckler, and P. Sivakumar, "The Optimal Logic Depth Per Pipeline Stage is 6 to 8 FO4 Inverter Delays," in Proc. of 29th International Symposium on Computer Architecture, May 2002.
-
(2002)
Proc. of 29th International Symposium on Computer Architecture
-
-
Hrishikesh, M.1
Farkas, K.2
Jouppi, N.3
Burger, D.4
Keckler, S.5
Sivakumar, P.6
-
13
-
-
84861429214
-
-
Intel Corporation, Personal Communication
-
D. Carmean Intel Corporation, Personal Communication.
-
-
-
Carmean, D.1
-
16
-
-
0030676681
-
Complexity effective superscalar processors
-
June
-
S. Palacharla, N. Jouppi, and J. E. Smith, "Complexity Effective Superscalar Processors," in Proc. International Symposium on Computer Architecture, pp. 206-218, June 1997.
-
(1997)
Proc. International Symposium on Computer Architecture
, pp. 206-218
-
-
Palacharla, S.1
Jouppi, N.2
Smith, J.E.3
-
17
-
-
0003465202
-
The SimpleScalar tool set, version 2.0
-
Madison, June
-
D. C. Burger and T. M. Austin, "The SimpleScalar Tool Set, Version 2.0," Technical Report CS-TR-97-1342, University of Wisconsin, Madison, June 1997.
-
(1997)
Technical Report CS-TR-97-1342, University of Wisconsin
-
-
Burger, D.C.1
Austin, T.M.2
-
20
-
-
27544512320
-
Using interaction cost for microarchitectural bottleneck analysis
-
Dec
-
B. Fields, R. Bodik, M. Hill, and C. J. Newburn, "Using Interaction Cost for Microarchitectural Bottleneck Analysis," in Proc. of 36th International Symposium on Microarchitecture, Dec 2003.
-
(2003)
Proc. of 36th International Symposium on Microarchitecture
-
-
Fields, B.1
Bodik, R.2
Hill, M.3
Newburn, C.J.4
-
21
-
-
26444479778
-
Optimization by simulated annealing
-
13 May, 4598, 1983
-
S. Kirkpatrick, C. D. Gelatt, and M. P. Vecchi, "Optimization by Simulated Annealing," Science, Number 4598, 13 May 1983, vol. 220, 4598, pp. 671-680, 1983.
-
(1983)
Science
, vol.220
, Issue.4598
, pp. 671-680
-
-
Kirkpatrick, S.1
Gelatt, C.D.2
Vecchi, M.P.3
-
22
-
-
0030378255
-
VLSI module placement on rectangle packing by sequence-pair
-
Dec
-
H. Murata, F. Fujiyoshi, S. Nakatake, and Y. Kajitani, "VLSI Module Placement on Rectangle Packing by Sequence-Pair," IEEE Transactions on Computer-Aided Design, vol. 15, pp. 1518-1524, Dec 1996.
-
(1996)
IEEE Transactions on Computer-Aided Design
, vol.15
, pp. 1518-1524
-
-
Murata, H.1
Fujiyoshi, F.2
Nakatake, S.3
Kajitani, Y.4
-
24
-
-
84861423806
-
-
"The Standard Performance Evaluation Corporation," 2000. http://www.spec.org.
-
(2000)
-
-
-
25
-
-
0036953769
-
Automatically characterizing large scale program behavior
-
Oct
-
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder, "Automatically Characterizing Large Scale Program Behavior," in Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, Oct. 2002.
-
(2002)
Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems
-
-
Sherwood, T.1
Perelman, E.2
Hamerly, G.3
Calder, B.4
-
26
-
-
85084630161
-
Interconnect estimation and planning for deep submicron designs
-
J. Cong and D. Pan, "Interconnect Estimation and Planning for Deep Submicron Designs," in Proc. Design Automation Conference, pp. 507510, 1999.
-
(1999)
Proc. Design Automation Conference
, pp. 507510
-
-
Cong, J.1
Pan, D.2
|