-
1
-
-
0036911921
-
Managing power and performance for system-on-chip designs using voltage Islands
-
Nov.
-
D. Lackey, et al., "Managing Power and Performance for System-on-Chip Designs using Voltage Islands,' in Proc. Intl. Conf. on Computer-Aided Design (ICCAD), pp. 195-202, Nov. 2002.
-
(2002)
Proc. Intl. Conf. on Computer-aided Design (ICCAD)
, pp. 195-202
-
-
Lackey, D.1
-
2
-
-
0036294823
-
Power and performance evaluation of globally asynchronous, locally synchronous processors
-
May
-
A. Iyer and D. Marculescu, "Power and performance evaluation of globally asynchronous, locally synchronous processors," in Proc. Intl. Symp. on Computer Architecture (ISCA), pp. 158-170, May 2002.
-
(2002)
Proc. Intl. Symp. on Computer Architecture (ISCA)
, pp. 158-170
-
-
Iyer, A.1
Marculescu, D.2
-
3
-
-
0345272496
-
Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling
-
Feb.
-
G. Semeraro, G. Magklis, R. Balasubramonian, D. Albonesi, S. Dwarkadas, and M. Scott, "Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling," in Proc. Intl. Symposium on High-Performance Computer Architecture (HPCA), pp. 29-42, Feb. 2002.
-
(2002)
Proc. Intl. Symposium on High-performance Computer Architecture (HPCA)
, pp. 29-42
-
-
Semeraro, G.1
Magklis, G.2
Balasubramonian, R.3
Albonesi, D.4
Dwarkadas, S.5
Scott, M.6
-
4
-
-
0030676681
-
Complexity-effective superscalar processors
-
ACM Press, June
-
S. Palacharla, N. P. Jouppi, and I. E. Smith, "Complexity-effective superscalar processors," in Proc. Intl. Symposium on Computer Architecture, ACM Press, pp. 206-218, June 1997.
-
(1997)
Proc. Intl. Symposium on Computer Architecture
, pp. 206-218
-
-
Palacharla, S.1
Jouppi, N.P.2
Smith, I.E.3
-
5
-
-
33746585048
-
Dynamic frequency and voltage control for a multiple clock domain microarchitecture
-
Nov.
-
G. Semeraro, D.H. Albonesi, S.G. Dropsho, G. Magklis, S. Dwarkadas, M.L. Scott, "Dynamic Frequency and Voltage Control for a Multiple Clock Domain Microarchitecture," in Proc. Intl. Symposium on Microarchitecture (MICRO), pp. 356-367, Nov. 2002.
-
(2002)
Proc. Intl. Symposium on Microarchitecture (MICRO)
, pp. 356-367
-
-
Semeraro, G.1
Albonesi, D.H.2
Dropsho, S.G.3
Magklis, G.4
Dwarkadas, S.5
Scott, M.L.6
-
7
-
-
0032690091
-
Lower power consumption in clock by using globally asynchronous locally synchronous design style
-
June
-
A. Hemani, T. Meincke, S. Kumar, A. Postula, T. Olsson, P. Nilsson, J. Oberg, P. Ellervee, and D. Lundqvist, "Lower Power Consumption in Clock By Using Globally Asynchronous Locally Synchronous Design Style," in Proc. Design Automation Conference (DAC), pp. 873-878, June 1999.
-
(1999)
Proc. Design Automation Conference (DAC)
, pp. 873-878
-
-
Hemani, A.1
Meincke, T.2
Kumar, S.3
Postula, A.4
Olsson, T.5
Nilsson, P.6
Oberg, J.7
Ellervee, P.8
Lundqvist, D.9
-
8
-
-
0036916955
-
Power efficiency of multiple clock, multiple voltage cores
-
San Jose, CA, Nov.
-
A. Iyer and D. Marculescu, "Power Efficiency of Multiple Clock, Multiple Voltage Cores," in Proc. IEEE/ACM Intl. Conference on Computer-Aided Design (ICCAD), pp. 379-386, San Jose, CA, Nov. 2002
-
(2002)
Proc. IEEE/ACM Intl. Conference on Computer-aided Design (ICCAD)
, pp. 379-386
-
-
Iyer, A.1
Marculescu, D.2
-
10
-
-
0034844926
-
Focusing processor policies via critical-path prediction
-
July
-
B. Fields, S. Rubin, and R. Bodik, "Focusing Processor Policies via Critical-Path Prediction," in Proc. Intl. Symp. on Computer Architecture (ISCA), pp. 74-85, July 2001.
-
(2001)
Proc. Intl. Symp. on Computer Architecture (ISCA)
, pp. 74-85
-
-
Fields, B.1
Rubin, S.2
Bodik, R.3
-
11
-
-
0034817930
-
Dynamic prediction of critical path instructions
-
Jan.
-
E. Tune, D. Liang, D. Tullsen, and B. Calder, "Dynamic Prediction of Critical Path Instructions," in Proc. Intl. Symposium on High Performance Computer Architecture (HPCA), pp. 185-196, Jan. 2001
-
(2001)
Proc. Intl. Symposium on High Performance Computer Architecture (HPCA)
, pp. 185-196
-
-
Tune, E.1
Liang, D.2
Tullsen, D.3
Calder, B.4
-
12
-
-
0036296821
-
Slack: Maximizing performance under technological constraints
-
May
-
B. Fields, R. Bodik, and M. D. Hill, "Slack: Maximizing Performance under Technological Constraints," in Proc. Intl. Symposium on Computer Architecture (ISCA), pp. 47-58, May 2002.
-
(2002)
Proc. Intl. Symposium on Computer Architecture (ISCA)
, pp. 47-58
-
-
Fields, B.1
Bodik, R.2
Hill, M.D.3
-
13
-
-
52949133622
-
Quantifying instruction criticality
-
Sept.
-
E. Tune, D. Tullsen, and B. Calder, "Quantifying Instruction Criticality," in Proc. Intl. Conference on Parallel Architectures and Compilation Techniques (PACT), pp. 104-116, Sept. 2002.
-
(2002)
Proc. Intl. Conference on Parallel Architectures and Compilation Techniques (PACT)
, pp. 104-116
-
-
Tune, E.1
Tullsen, D.2
Calder, B.3
-
14
-
-
0031232922
-
Will physical scalability sabotage performance gains?
-
Sept.
-
D. Matzke, "Will Physical Scalability Sabotage Performance Gains?," in IEEE Computer, 30(9):37-39, Sept. 1997.
-
(1997)
IEEE Computer
, vol.30
, Issue.9
, pp. 37-39
-
-
Matzke, D.1
-
15
-
-
0003278283
-
The microarchitecture of the pentium4 processor
-
G. Hinton, D. Sager, M. Upton, D. Boggs, D. Carmean, A. Kyker, and P. Roussel, "The Microarchitecture of the Pentium4 Processor," in Intel Technology Journal, Q1 2001.
-
(2001)
Intel Technology Journal
, vol.Q1
-
-
Hinton, G.1
Sager, D.2
Upton, M.3
Boggs, D.4
Carmean, D.5
Kyker, A.6
Roussel, P.7
-
21
-
-
0033359028
-
A cost-effective clustered architecture
-
Oct.
-
R. Canal, J.M. Parcerisa, and A. Gonzalez, "A Cost-Effective Clustered Architecture," Int. Conf. on Parallel Architectures and Compilation Techniques (PACT'99), pp. 160-168, Oct. 1999
-
(1999)
Int. Conf. on Parallel Architectures and Compilation Techniques (PACT'99)
, pp. 160-168
-
-
Canal, R.1
Parcerisa, J.M.2
Gonzalez, A.3
-
22
-
-
0028710966
-
Low-power operation using self-timed circuits and adaptive scaling of the supply voltage
-
December
-
L. S. Nielsen, C. Niessen, J. Sparse, and K. van Berkel, "Low-Power Operation Using Self-Timed Circuits and Adaptive Scaling of the Supply Voltage," in IEEE Transactions on Very Large Scale Integration Systems (TVLSI), December 1994.
-
(1994)
IEEE Transactions on Very Large Scale Integration Systems (TVLSI)
-
-
Nielsen, L.S.1
Niessen, C.2
Sparse, J.3
Van Berkel, K.4
-
23
-
-
0003465202
-
The SimpleScalar tool set, version 2.0
-
Computer Science Department, University of Wisconsin-Madison
-
D. Burger, and T. Austin, "The SimpleScalar Tool Set, Version 2.0," Technical Report CS-TR-97-1342, Computer Science Department, University of Wisconsin-Madison, 1997.
-
(1997)
Technical Report
, vol.CS-TR-97-1342
-
-
Burger, D.1
Austin, T.2
-
24
-
-
0033719421
-
Wattch: A framework for architectural-level power analysis and optimizations
-
June
-
D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: A Framework for Architectural-Level Power Analysis and Optimizations," in Proc. Intl. Symposium on Computer Architecture, pp. 83-94, June 2000.
-
(2000)
Proc. Intl. Symposium on Computer Architecture
, pp. 83-94
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
|