메뉴 건너뛰기




Volumn , Issue , 2014, Pages

Thermal management of manycore systems with silicon-photonic networks

Author keywords

[No Author keywords available]

Indexed keywords

APPLICATION PROGRAMS; NETWORK-ON-CHIP; PHOTONICS; TEMPERATURE CONTROL; THERMAL GRADIENTS;

EID: 84903850314     PISSN: 15301591     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.7873/DATE2014.320     Document Type: Conference Paper
Times cited : (38)

References (24)
  • 1
    • 36349002874 scopus 로고    scopus 로고
    • On the design of a photonic network-on-chip
    • A. Shacham, K. Bergman, and L. P. Carloni, "On the design of a photonic Network-on-Chip," in Proc. NOCS, 2007, pp. 53-64.
    • (2007) Proc. NOCS , pp. 53-64
    • Shacham, A.1    Bergman, K.2    Carloni, L.P.3
  • 2
    • 70349792919 scopus 로고    scopus 로고
    • Silicon-photonic clos networks for global on-chip communication
    • A. Joshi et al., "Silicon-photonic clos networks for global on-chip communication," in Proc. NOCS, 2009, pp. 124-133.
    • (2009) Proc. NOCS , pp. 124-133
    • Joshi, A.1
  • 3
    • 50849108147 scopus 로고    scopus 로고
    • Static and dynamic temperature-aware scheduling for multiprocessor socs
    • A. K. Coskun, T. S. Rosing, K. A. Whisnant, and K. C. Gross, "Static and dynamic temperature-aware scheduling for multiprocessor SoCs," Proc. IEEE Trans. on VLSI, vol. 16, no. 9, pp. 1127-1140, 2008.
    • (2008) Proc. IEEE Trans. on VLSI , vol.16 , Issue.9 , pp. 1127-1140
    • Coskun, A.K.1    Rosing, T.S.2    Whisnant, K.A.3    Gross, K.C.4
  • 4
    • 84881041149 scopus 로고    scopus 로고
    • A sub-400 fj/bit thermal tuner for optical resonant ring modulators in 40 nm cmos
    • P. Amberg et al., "A sub-400 fJ/bit thermal tuner for optical resonant ring modulators in 40 nm CMOS," in Proc. IEEE ASSCC, 2012, pp. 29-32.
    • (2012) Proc. IEEE ASSCC , pp. 29-32
    • Amberg, P.1
  • 7
    • 0029179077 scopus 로고
    • The splash-2 programs: Characterization and methodological considerations
    • S. C. Woo et al., "The SPLASH-2 programs: characterization and methodological considerations," in Proc. ISCA, 1995, pp. 24-36.
    • (1995) Proc. ISCA , pp. 24-36
    • Woo, S.C.1
  • 8
    • 63549095070 scopus 로고    scopus 로고
    • The parsec benchmark suite: Characterization and architectural implications
    • C. Bienia et al., "The PARSEC benchmark suite: Characterization and Architectural Implications," in Proc. PACT, 2008, pp. 72-81.
    • (2008) Proc. PACT , pp. 72-81
    • Bienia, C.1
  • 9
    • 70549111625 scopus 로고    scopus 로고
    • Firefly: Illuminating future network-on-chip with nanophotonics
    • Y. Pan et al., "Firefly: Illuminating future Network-on-Chip with nanophotonics," in Proc. ISCA, 2009, pp. 429-440.
    • (2009) Proc. ISCA , pp. 429-440
    • Pan, Y.1
  • 10
    • 84862745155 scopus 로고    scopus 로고
    • Engineering a bandwidthscalable optical layer for a 3d multi-core processor with awareness of layout constraints
    • L. Ramini, D. Bertozzi, and L. Carloni, "Engineering a bandwidthscalable optical layer for a 3d multi-core processor with awareness of layout constraints," in Proc. NOCS, 2012, pp. 185-192.
    • (2012) Proc. NOCS , pp. 185-192
    • Ramini, L.1    Bertozzi, D.2    Carloni, L.3
  • 11
    • 84879346518 scopus 로고    scopus 로고
    • CMOS-compatible, athermal silicon ring modulators clad with titanium dioxide
    • S. S. Djordjevic et al., "CMOS-compatible, athermal silicon ring modulators clad with titanium dioxide," Optics Express, vol. 21, no. 12, pp. 13 958-13 968, 2013.
    • (2013) Optics Express , vol.21 , Issue.12 , pp. 13958-13968
    • Djordjevic, S.S.1
  • 12
    • 84890773615 scopus 로고    scopus 로고
    • Silicon microring modulator with integrated heater and temperature sensor for thermal control
    • C. T. DeRose et al., "Silicon microring modulator with integrated heater and temperature sensor for thermal control," in Proc. CLEO, 2010, pp. 1-2.
    • (2010) Proc. CLEO , pp. 1-2
    • Derose, C.T.1
  • 13
    • 77149120761 scopus 로고    scopus 로고
    • CMOS-compatible athermal silicon microring resonators
    • G. Biswajeet et al., "CMOS-compatible athermal silicon microring resonators," Optics Express, vol. 18, no. 4, pp. 3487-3493, 2010.
    • (2010) Optics Express , vol.18 , Issue.4 , pp. 3487-3493
    • Biswajeet, G.1
  • 14
    • 79955897352 scopus 로고    scopus 로고
    • Addressing system-level trimming issues in on-chip nanophotonic networks
    • C. Nitta, M. Farrens, and V. Akella, "Addressing system-level trimming issues in on-chip nanophotonic networks," in Proc. HPCA, 2011, pp. 122-131.
    • (2011) Proc. HPCA , pp. 122-131
    • Nitta, C.1    Farrens, M.2    Akella, V.3
  • 15
    • 84862107034 scopus 로고    scopus 로고
    • Power-efficient calibration and reconfiguration for onchip optical communication
    • Y. Zheng et al., "Power-efficient calibration and reconfiguration for onchip optical communication," in DATE, 2012, pp. 1501-1506.
    • (2012) DATE , pp. 1501-1506
    • Zheng, Y.1
  • 16
    • 83655164004 scopus 로고    scopus 로고
    • Reliability modeling and management of nanophotonic on-chip networks
    • Z. Li et al., "Reliability modeling and management of nanophotonic on-chip networks," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 20, no. 1, pp. 98-111, 2012.
    • (2012) IEEE Transactions on Very Large Scale Integration (VLSI) Systems , vol.20 , Issue.1 , pp. 98-111
    • Li, Z.1
  • 17
    • 78650922410 scopus 로고    scopus 로고
    • A 48-core ia-32 processor in 45 nm cmos using on-die message-passing and dvfs for performance and power scaling
    • J. Howard et al., "A 48-core IA-32 processor in 45 nm CMOS using on-die message-passing and DVFS for performance and power scaling," IEEE Journal of Solid-State Circuits, vol. 46, no. 1, pp. 173-183, 2011.
    • (2011) IEEE Journal of Solid-State Circuits , vol.46 , Issue.1 , pp. 173-183
    • Howard, J.1
  • 18
    • 84876560864 scopus 로고    scopus 로고
    • A 1.23pj/b 2.5gb/s monolithically integrated optical carrier-injection ring modulator and all-digital driver circuit in commercial 45nm soi
    • B. Moss et al., "A 1.23pJ/b 2.5Gb/s monolithically integrated optical carrier-injection ring modulator and all-digital driver circuit in commercial 45nm SOI," in ISSCC, 2013, pp. 126-127.
    • (2013) ISSCC , pp. 126-127
    • Moss, B.1
  • 19
    • 84861494685 scopus 로고    scopus 로고
    • Open foundry platform for high-performance electronic-photonic integration
    • May.
    • J. S. Orcutt et al., "Open foundry platform for high-performance electronic-photonic integration," Opt. Express, vol. 20, no. 11, pp. 12 222-12 232, May 2012.
    • (2012) Opt. Express , vol.20 , Issue.11 , pp. 12222-12232
    • Orcutt, J.S.1
  • 20
    • 84877640756 scopus 로고    scopus 로고
    • Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulations
    • T. E. Carlson et al., "Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulations," in Proc. SC, 2011, pp. 1-12.
    • (2011) Proc. SC , pp. 1-12
    • Carlson, T.E.1
  • 21
    • 76749146060 scopus 로고    scopus 로고
    • McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
    • S. Li et al., "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures," in Proc. MICRO-42, 2009, pp. 469-480.
    • (2009) Proc. MICRO-42 , pp. 469-480
    • Li, S.1
  • 22
    • 1542269367 scopus 로고    scopus 로고
    • Full chip leakage-estimation considering power supply and temperature variations
    • H. Su et al., "Full chip leakage-estimation considering power supply and temperature variations," in Proc. ISLPED, 2003, pp. 78-83.
    • (2003) Proc. ISLPED , pp. 78-83
    • Su, H.1
  • 23
    • 0038684860 scopus 로고    scopus 로고
    • Temperature-aware microarchitecture
    • K. Skadron et al., "Temperature-aware microarchitecture," in Proc. ISCA, 2003, pp. 2-13.
    • (2003) Proc. ISCA , pp. 2-13
    • Skadron, K.1
  • 24
    • 77952858364 scopus 로고    scopus 로고
    • Wavelength-tunable silicon microring modulator
    • May.
    • P. Dong et al., "Wavelength-tunable silicon microring modulator," Optics Express, vol. 18, no. 11, pp. 10 941-10 946, May 2010.
    • (2010) Optics Express , vol.18 , Issue.11 , pp. 10941-10946
    • Dong, P.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.