-
2
-
-
84897814823
-
-
ARM Ltd., 2011. http://www.arm.com/products/tools/development-boards/ versatile-express/index.php.
-
(2011)
-
-
-
4
-
-
78650037215
-
Runtime thermal management using software agents for multi-and many-core architectures
-
M. A. Al Faruque, J. Jahn, T. Ebi, and J. Henkel. Runtime thermal management using software agents for multi-and many-core architectures. Design & Test of Computers, IEEE, 27(6):58-68, 2010.
-
(2010)
Design & Test of Computers, IEEE
, vol.27
, Issue.6
, pp. 58-68
-
-
Al Faruque, M.A.1
Jahn, J.2
Ebi, T.3
Henkel, J.4
-
5
-
-
27544432558
-
The impact of performance asymmetry in emerging multicore architectures
-
IEEE Computer Society
-
S. Balakrishnan, R. Rajwar, M. Upton, and K. Lai. The impact of performance asymmetry in emerging multicore architectures. In ACM SIGARCH Computer Architecture News, volume 33, pages 506-517. IEEE Computer Society, 2005.
-
(2005)
ACM SIGARCH Computer Architecture News
, vol.33
, pp. 506-517
-
-
Balakrishnan, S.1
Rajwar, R.2
Upton, M.3
Lai, K.4
-
6
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
ACM
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: characterization and architectural implications. In Proceedings of the 17th international conference on Parallel architectures and compilation techniques, pages 72-81. ACM, 2008.
-
(2008)
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
7
-
-
0036041121
-
Managing energy and server resources in hosting centers
-
ACM
-
J. S. Chase, D. C. Anderson, P. N. Thakar, A. M. Vahdat, and R. P. Doyle. Managing energy and server resources in hosting centers. In ACM SIGOPS Operating Systems Review, volume 35, pages 103-116. ACM, 2001.
-
(2001)
ACM SIGOPS Operating Systems Review
, vol.35
, pp. 103-116
-
-
Chase, J.S.1
Anderson, D.C.2
Thakar, P.N.3
Vahdat, A.M.4
Doyle, R.P.5
-
9
-
-
84858763476
-
Pack & Cap: Adaptive DVFS and thread packing under power caps
-
ACM
-
R. Cochran, C. Hankendi, A. K. Coskun, and S. Reda. Pack & Cap: adaptive DVFS and thread packing under power caps. In Proceedings of the 44th annual IEEE/ACM international symposium on microarchitecture, pages 175-185. ACM, 2011.
-
(2011)
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 175-185
-
-
Cochran, R.1
Hankendi, C.2
Coskun, A.K.3
Reda, S.4
-
10
-
-
76349084930
-
Tape: Thermal-aware agent-based power economy multi/many-core architectures
-
IEEE
-
T. Ebi, M. Faruque, and J. Henkel. Tape: Thermal-aware agent-based power economy multi/many-core architectures. In Computer-Aided Design-Digest of Technical Papers, 2009. ICCAD 2009. IEEE/ACM International Conference on, pages 302-309. IEEE, 2009.
-
(2009)
Computer-Aided Design-Digest of Technical Papers, 2009. ICCAD 2009. IEEE/ACM International Conference on
, pp. 302-309
-
-
Ebi, T.1
Faruque, M.2
Henkel, J.3
-
12
-
-
81355136053
-
Economic learning for thermal-aware power budgeting in many-core architectures
-
IEEE
-
T. Ebi, D. Kramer, W. Karl, and J. Henkel. Economic learning for thermal-aware power budgeting in many-core architectures. In Hardware/Software Codesign and System Synthesis (CODES+ ISSS), 2011 Proceedings of the 9th International Conference on, pages 189-196. IEEE, 2011.
-
(2011)
Hardware/Software Codesign and System Synthesis (CODES+ ISSS), 2011 Proceedings of the 9th International Conference on
, pp. 189-196
-
-
Ebi, T.1
Kramer, D.2
Karl, W.3
Henkel, J.4
-
14
-
-
84864770865
-
A multi-agent framework for thermal aware task migration in many-core systems
-
Y. Ge, Q. Qiu, and Q. Wu. A multi-agent framework for thermal aware task migration in many-core systems. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 20(10):1758-1771, 2012
-
(2012)
Very Large Scale Integration (VLSI) Systems IEEE Transactions on
, vol.20
, Issue.10
, pp. 1758-1771
-
-
Ge, Y.1
Qiu, Q.2
Wu, Q.3
-
16
-
-
84880254558
-
Navigating heterogeneous processors with market mechanisms
-
M. Guevara, B. Lubin, and B. C. Lee. Navigating heterogeneous processors with market mechanisms. In HPCA, pages 95-106, 2013.
-
(2013)
HPCA
, pp. 95-106
-
-
Guevara, M.1
Lubin, B.2
Lee, B.C.3
-
17
-
-
77957586311
-
Application heartbeats for software performance and health ACM
-
ACM
-
H. Hoffmann, J. Eastep, M. D. Santambrogio, J. E. Miller, and A. Agarwal. Application heartbeats for software performance and health. In ACM Sigplan Notices, volume 45, pages 347-348. ACM, 2010.
-
(2010)
Sigplan Notices
, vol.45
, pp. 347-348
-
-
Hoffmann, H.1
Eastep, J.2
Santambrogio, M.D.3
Miller, J.E.4
Agarwal, A.5
-
18
-
-
36949001469
-
An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget
-
IEEE
-
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In Microarchitecture, 2006. MICRO-39. 39th Annual IEEE/ACM International Symposium on, pages 347-358. IEEE, 2006.
-
(2006)
Microarchitecture, 2006. MICRO-39. 39th Annual IEEE/ACM International Symposium on
, pp. 347-358
-
-
Isci, C.1
Buyuktosunoglu, A.2
Cher, C.-Y.3
Bose, P.4
Martonosi, M.5
-
20
-
-
84944403811
-
Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction
-
R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In MICRO, pages 81-92, 2003.
-
(2003)
MICRO
, pp. 81-92
-
-
Kumar, R.1
Farkas, K.I.2
Jouppi, N.P.3
Ranganathan, P.4
Tullsen, D.M.5
-
22
-
-
78751693682
-
Expressive power-based resource allocation for data centers
-
B. Lubin, J. O. Kephart, R. Das, and D. C. Parkes. Expressive power-based resource allocation for data centers. In Proc. of the 21st International Joint Conference on Artificial Intelligence, pages 1451-1456, 2009.
-
(2009)
Proc. of the 21st International Joint Conference on Artificial Intelligence
, pp. 1451-1456
-
-
Lubin, B.1
Kephart, J.O.2
Das, R.3
Parkes, D.C.4
-
23
-
-
80052544783
-
Scalable power control for many-core architectures running multi-threaded applications
-
ACM
-
K. Ma, X. Li, M. Chen, and X. Wang. Scalable power control for many-core architectures running multi-threaded applications. In ACM SIGARCH Computer Architecture News, volume 39, pages 449-460. ACM, 2011.
-
(2011)
ACM SIGARCH Computer Architecture News
, vol.39
, pp. 449-460
-
-
Ma, K.1
Li, X.2
Chen, M.3
Wang, X.4
-
24
-
-
84947570042
-
Power: A first class design constraint for future architectures
-
Springer, 2000
-
T. Mudge. Power: A first class design constraint for future architectures. In High Performance Computing-HiPC 2000, pages 215-224. Springer, 2000.
-
(2000)
High Performance Computing-HiPC
, pp. 215-224
-
-
Mudge, T.1
-
25
-
-
84879849487
-
Hierarchical power management for asymmetric multi-core in dark silicon era
-
ACM
-
T. S. Muthukaruppan, M. Pricopi, V. Venkataramani, T. Mitra, and S. Vishin. Hierarchical power management for asymmetric multi-core in dark silicon era. In Proceedings of the 50th Annual Design Automation Conference, page 174. ACM, 2013.
-
(2013)
Proceedings of the 50th Annual Design Automation Conference
, pp. 174
-
-
Muthukaruppan, T.S.1
Pricopi, M.2
Venkataramani, V.3
Mitra, T.4
Vishin, S.5
-
27
-
-
84892628674
-
Power-performance modeling on asymmetric multi-cores
-
IEEE
-
M. Pricopi, T. S. Muthukaruppan, V. Venkataramani, T. Mitra, and S. Vishin. Power-performance modeling on asymmetric multi-cores. In Compilers, Architecture and Synthesis for Embedded Systems (CASES), 2013 International Conference on, pages 1-10. IEEE, 2013.
-
(2013)
Compilers, Architecture and Synthesis for Embedded Systems (CASES), 2013 International Conference on
, pp. 1-10
-
-
Pricopi, M.1
Muthukaruppan, T.S.2
Venkataramani, V.3
Mitra, T.4
Vishin, S.5
-
28
-
-
70450253535
-
Thread motion: Finegrained power management for multi-core systems
-
ACM
-
K. K. Rangan, G.-Y.Wei, and D. Brooks. Thread motion: finegrained power management for multi-core systems. In ACM SIGARCH Computer Architecture News, volume 37, pages 302-313. ACM, 2009.
-
(2009)
ACM SIGARCH Computer Architecture News
, vol.37
, pp. 302-313
-
-
Rangan, K.K.1
Wei, G.-Y.2
Brooks, D.3
-
29
-
-
34247581920
-
Power and reliability management of socs
-
T. S. Rosing, K. Mihic, and G. De Micheli. Power and reliability management of socs. Very Large Scale Integration(VLSI) Systems, IEEE Transactions on, 15(4):391-403, 2007.
-
(2007)
Very Large Scale Integration(VLSI) Systems IEEE Transactions on
, vol.15
, Issue.4
, pp. 391-403
-
-
Rosing, T.S.1
Mihic, K.2
De Micheli, G.3
-
30
-
-
79955965588
-
Energy management in mobile devices with the cinder operating system
-
ACM
-
A. Roy, S. M. Rumble, R. Stutsman, P. Levis, D. Mazieres, and N. Zeldovich. Energy management in mobile devices with the cinder operating system. In Proceedings of the sixth conference on Computer systems, pages 139-152. ACM, 2011.
-
(2011)
Proceedings of the Sixth Conference on Computer Systems
, pp. 139-152
-
-
Roy, A.1
Rumble, S.M.2
Stutsman, R.3
Levis, P.4
Mazieres, D.5
Zeldovich, N.6
-
31
-
-
78149496312
-
Dynamic poweraware mapping of applications onto heterogeneous MPSoC platforms
-
A. Schranzhofer, J.-J. Chen, and L. Thiele. Dynamic poweraware mapping of applications onto heterogeneous MPSoC platforms. Industrial Informatics, IEEE Transactions on, 6 (4):692-707, 2010.
-
(2010)
Industrial Informatics IEEE Transactions on
, vol.6
, Issue.4
, pp. 692-707
-
-
Schranzhofer, A.1
Chen, J.-J.2
Thiele, L.3
-
33
-
-
84864863389
-
Scheduling heterogeneous multi-cores through performance impact estimation (PIE)
-
IEEE Press
-
K. Van Craeynest, A. Jaleel, L. Eeckhout, P. Narvaez, and J. Emer. Scheduling heterogeneous multi-cores through performance impact estimation (PIE). In Proceedings of the 39th International Symposium on Computer Architecture, pages 213-224. IEEE Press, 2012.
-
(2012)
Proceedings of the 39th International Symposium on Computer Architecture
, pp. 213-224
-
-
Van Craeynest, K.1
Jaleel, A.2
Eeckhout, L.3
Narvaez, P.4
Emer, J.5
-
34
-
-
70649096324
-
SD-VBS: The San Diego vision benchmark suite
-
IEEE
-
S. K. Venkata, I. Ahn, D. Jeon, A. Gupta, C. Louie, S. Garcia, S. Belongie, and M. B. Taylor. SD-VBS: The San Diego vision benchmark suite. In Workload Characterization, 2009 IISWC 2009. IEEE International Symposium on, pages 55-64. IEEE, 2009.
-
(2009)
Workload Characterization, 2009 IISWC 2009. IEEE International Symposium on
, pp. 55-64
-
-
Venkata, S.K.1
Ahn, I.2
Jeon, D.3
Gupta, A.4
Louie, C.5
Garcia, S.6
Belongie, S.7
Taylor, M.B.8
-
35
-
-
80052316957
-
Adaptive power control with online model estimation for chip multiprocessors
-
X. Wang, K. Ma, and Y. Wang. Adaptive power control with online model estimation for chip multiprocessors. Parallel and Distributed Systems, IEEE Transactions on, 22(10):1681-1696, 2011.
-
(2011)
Parallel and Distributed Systems IEEE Transactions on
, vol.22
, Issue.10
, pp. 1681-1696
-
-
Wang, X.1
Ma, K.2
Wang, Y.3
|