-
3
-
-
0032650608
-
On thermal effects in deep sub-micron VLSI interconnects
-
New York, NY, USA, ACM
-
K. Banerjee, A. Mehrotra, A. Sangiovanni-Vincentelli, and C. Hu. On thermal effects in deep sub-micron vlsi interconnects. In Design Automation Conference, pages 885{891, New York, NY, USA, 1999. ACM.
-
(1999)
Design Automation Conference
, pp. 885-891
-
-
Banerjee, K.1
Mehrotra, A.2
Sangiovanni-Vincentelli, A.3
Hu, C.4
-
4
-
-
70649107128
-
A communication characterisation of splash-2 and parsec
-
N. Barrow-Williams, C. Fensch, and S. Moore. A communication characterisation of splash-2 and parsec. In IISWC, 2009.
-
(2009)
IISWC
-
-
Barrow-Williams, N.1
Fensch, C.2
Moore, S.3
-
5
-
-
84872917643
-
A simple on-chip optical interconnection for improving performance of coherency traffic in cmps
-
Cesme, Turkey, Sept
-
S. Bartolini and P. Grani. A simple on-chip optical interconnection for improving performance of coherency traffic in cmps. In Proceedings of the Digital System Design, DSD, Cesme, Turkey, Sept. 2012.
-
(2012)
Proceedings of the Digital System Design, DSD
-
-
Bartolini, S.1
Grani, P.2
-
6
-
-
84867608939
-
Impact of photonic-electronic integration in networking and computing systems
-
2012 17th, july
-
S. Ben Yoo. Impact of photonic-electronic integration in networking and computing systems. In Opto-Electronics and Communications Conference (OECC), 2012 17th, pages 293 {294, july 2012.
-
(2012)
Opto-Electronics and Communications Conference (OECC)
, pp. 293-294
-
-
Yoo, S.B.1
-
7
-
-
63549095070
-
The parsec benchmark suite: Characterization and architectural implication
-
Oct
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The parsec benchmark suite: Characterization and architectural implication. In PACT, Oct. 2008.
-
(2008)
PACT
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
8
-
-
33846535493
-
The m5 simulator: Modeling networked systems
-
N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. The m5 simulator: Modeling networked systems. IEEE Micro, 26, 2006.
-
(2006)
IEEE Micro
, vol.26
-
-
Binkert, N.L.1
Dreslinski, R.G.2
Hsu, L.R.3
Lim, K.T.4
Saidi, A.G.5
Reinhardt, S.K.6
-
9
-
-
79955370378
-
The future of microprocessors
-
May
-
S. Borkar and A. A. Chien. The future of microprocessors. Commun. ACM, 54(5):67{77, May 2011.
-
(2011)
Commun. ACM
, vol.54
, Issue.5
, pp. 67-77
-
-
Borkar, S.1
Chien, A.A.2
-
10
-
-
34548306696
-
System level assessment of an optical noc in an mpsoc platform
-
San Jose, CA, USA, EDA Consortium
-
M. Brière, B. Girodias, Y. Bouchebaba, G. Nicolescu, F. Mieyeville, F. Gaffiot, and I. O'Connor. System level assessment of an optical noc in an mpsoc platform. In Proceedings of the conference on Design, automation and test in Europe, DATE '07, pages 1084{1089, San Jose, CA, USA, 2007. EDA Consortium.
-
(2007)
Proceedings of the Conference on Design, Automation and Test in Europe, DATE '07
, pp. 1084-1089
-
-
Brière, M.1
Girodias, B.2
Bouchebaba, Y.3
Nicolescu, G.4
Mieyeville, F.5
Gaffiot, F.6
O'connor, I.7
-
11
-
-
77954083814
-
Asynchronous current mode serial communication
-
july
-
R. Dobkin, M. Moyal, A. Kolodny, and R. Ginosar. Asynchronous current mode serial communication. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 18(7):1107 {1117, july 2010.
-
(2010)
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on
, vol.18
, Issue.7
, pp. 1107-1117
-
-
Dobkin, R.1
Moyal, M.2
Kolodny, A.3
Ginosar, R.4
-
13
-
-
77953292912
-
Running parsec 2.1 on m5
-
Department of Computer Science, October
-
M. Gebhart, J. Hestness, E. Fatehi, P. Gratz, and S. W. Keckler. Running parsec 2.1 on m5. Technical report, The University of Texas at Austin, Department of Computer Science, October 2009.
-
(2009)
Technical Report, the University of Texas at Austin
-
-
Gebhart, M.1
Hestness, J.2
Fatehi, E.3
Gratz, P.4
Keckler, S.W.5
-
14
-
-
0021455348
-
-
In IEEE, Jul
-
J. W. Goodman, F. J. Leonberger, S. Y. Kung, and R. A. Athale. Optical interconnections for vlsi systems. In IEEE, volume 72, pages 850{866, Jul. 1984.
-
(1984)
Optical Interconnections for VLSI Systems
, vol.72
, pp. 850-866
-
-
Goodman, J.W.1
Leonberger, F.J.2
Kung, S.Y.3
Athale, R.A.4
-
15
-
-
79955891236
-
International technology roadmap for semiconductors - interconnection
-
ITRS
-
ITRS. International technology roadmap for semiconductors - interconnection. ITRS, 1, 2011.
-
(2011)
ITRS
, vol.1
-
-
-
16
-
-
83655192624
-
Orion 2.0: A power-area simulator for interconnection networks
-
jan
-
A. Kahng, B. Li, L.-S. Peh, and K. Samadi. Orion 2.0: A power-area simulator for interconnection networks. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 20(1):191 {196, jan. 2012.
-
(2012)
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on
, vol.20
, Issue.1
, pp. 191-196
-
-
Kahng, A.1
Li, B.2
Peh, L.-S.3
Samadi, K.4
-
18
-
-
70449706455
-
A high-performance low-power nanophotonic on-chip network
-
Aug
-
Z. Li, J. Wu, L. Shang, A. Mickelson, M. Vachharajani, D. Filipovic, W. Park, and Y. Sun. A high-performance low-power nanophotonic on-chip network. In Int. Symp. Low Power Electronics and Design, Aug. 2009.
-
(2009)
Int. Symp. Low Power Electronics and Design
-
-
Li, Z.1
Wu, J.2
Shang, L.3
Mickelson, A.4
Vachharajani, M.5
Filipovic, D.6
Park, W.7
Sun, Y.8
-
19
-
-
52649125840
-
3D-stacked memory architectures for multi-core processors
-
Washington, DC, USA, IEEE Computer Society
-
G. H. Loh. 3d-stacked memory architectures for multi-core processors. In Proceedings of the 35th Annual International Symposium on Computer Architecture, pages 453{464, Washington, DC, USA, 2008. IEEE Computer Society.
-
(2008)
Proceedings of the 35th Annual International Symposium on Computer Architecture
, pp. 453-464
-
-
Loh, G.H.1
-
20
-
-
34249061449
-
On-chip optical interconnect for low-power
-
E. Macii, editor, Kluwer, Dordrecht
-
I. O'Connor and F. Gaffot. On-chip optical interconnect for low-power. In E. Macii, editor, Ultra-Low Power Electronics and Design, Kluwer, Dordrecht, 2004.
-
(2004)
Ultra-Low Power Electronics and Design
-
-
O'connor, I.1
Gaffot, F.2
-
21
-
-
70549111625
-
Firey: Illuminating future network-on-chip with nanophotonics
-
Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary. Firey: Illuminating future network-on-chip with nanophotonics. In Proceedings of the Int.l Symposium on Computer Architecture, 2009.
-
(2009)
Proceedings of the Intl Symposium on Computer Architecture
-
-
Pan, Y.1
Kumar, P.2
Kim, J.3
Memik, G.4
Zhang, Y.5
Choudhary, A.6
-
22
-
-
79952908658
-
Opal: A multi-layer hybrid photonic noc for 3D ics
-
Jan
-
S. Pasricha and S. Bahirat. Opal: A multi-layer hybrid photonic noc for 3d ics. In ASPDAC, Jan. 2011.
-
(2011)
ASPDAC
-
-
Pasricha, S.1
Bahirat, S.2
-
23
-
-
84942376575
-
Design exploration of optical interconnection networks for chip multiprocessor
-
Washington, DC, USA
-
M. Petracca, B. G. Lee, K. Bergman, and L. P. Carloni. Design exploration of optical interconnection networks for chip multiprocessor. In IEEE HOTI, Washington, DC, USA, 2008.
-
(2008)
IEEE HOTI
-
-
Petracca, M.1
Lee, B.G.2
Bergman, K.3
Carloni, L.P.4
-
24
-
-
62649104787
-
Scalable directory organization for tiled cmp architectures
-
A. Ros, M. E. Acacio, and J. M. Garc. Scalable directory organization for tiled cmp architectures. ICCD, 2008.
-
(2008)
ICCD
-
-
Ros, A.1
Acacio, M.E.2
Garc, J.M.3
-
25
-
-
49149095791
-
Photonic networks-onchip for future generations of chip multiprocessors
-
A. Shacham, K. Bergman, S. Member, and L. P. Carloni. Photonic networks-onchip for future generations of chip multiprocessors. IEEE Trans. Computing, page 1260, 2008.
-
(2008)
IEEE Trans. Computing
, pp. 1260
-
-
Shacham, A.1
Bergman, K.2
Member, S.3
Carloni, L.P.4
-
26
-
-
84862740379
-
Dsent - A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling
-
Washington, DC, USA, IEEE Computer Society
-
C. Sun, C.-H. O. Chen, G. Kurian, L. Wei, J. Miller, A. Agarwal, L.-S. Peh, and V. Stojanovic. Dsent - A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In Proceedings of the 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, NOCS '12, pages 201{210, Washington, DC, USA, 2012. IEEE Computer Society.
-
(2012)
Proceedings of the 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, NOCS '12
, pp. 201-210
-
-
Sun, C.1
Chen, C.-H.O.2
Kurian, G.3
Wei, L.4
Miller, J.5
Agarwal, A.6
Peh, L.-S.7
Stojanovic, V.8
-
27
-
-
76749143733
-
Light speed arbitration and ow control for nanophotonic interconnects
-
New York, NY, USA, ACM
-
D. Vantrease, N. Binkert, R. Schreiber, and M. H. Lipasti. Light speed arbitration and ow control for nanophotonic interconnects. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 42, pages 304{315, New York, NY, USA, 2009. ACM.
-
(2009)
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO
, vol.42
, pp. 304-315
-
-
Vantrease, D.1
Binkert, N.2
Schreiber, R.3
Lipasti, M.H.4
-
28
-
-
52649100126
-
Corona: System implications of emerging nanophotonic technology
-
June
-
D. Vantrease, R. Schreiber, M. Monchiero, M. Mclaren, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn. Corona: System implications of emerging nanophotonic technology. In ISCA, June 2008.
-
(2008)
ISCA
-
-
Vantrease, D.1
Schreiber, R.2
Monchiero, M.3
Mclaren, M.4
Fiorentino, M.5
Davis, A.6
Binkert, N.7
Beausoleil, R.G.8
Ahn, J.H.9
-
29
-
-
79959580717
-
A composite and scalable cache coherence protocol for large scale cmps
-
New York, NY, USA, ACM
-
Y. Xu, Y. Du, Y. Zhang, and J. Yang. A composite and scalable cache coherence protocol for large scale cmps. In Proceedings of the international conference on Supercomputing, ICS '11, pages 285{294, New York, NY, USA, 2011. ACM.
-
(2011)
Proceedings of the International Conference on Supercomputing, ICS '11
, pp. 285-294
-
-
Xu, Y.1
Du, Y.2
Zhang, Y.3
Yang, J.4
-
30
-
-
79952614438
-
Ultra-efficient 10gb/s hybrid integrated silicon photonic transmitter and receiver
-
Mar
-
X. Zheng and al. Ultra-efficient 10gb/s hybrid integrated silicon photonic transmitter and receiver. Opt. Express, 19(6):5172{5186, Mar 2011.
-
(2011)
Opt. Express
, vol.19
, Issue.6
, pp. 5172-5186
-
-
Zheng, X.1
|