-
1
-
-
70049105948
-
GARNET: A detailed on-chip network model inside a full-system simulator
-
N. Agarwal, T. Krishna, L.-S. Peh, and N. K. Jha, "GARNET: A detailed on-chip network model inside a full-system simulator, " Proc. ISPASS, pp. 33-42, 2009.
-
(2009)
Proc. ISPASS
, pp. 33-42
-
-
Agarwal, N.1
Krishna, T.2
Peh, L.-S.3
Jha, N.K.4
-
2
-
-
38449112664
-
-
M. S. thesis, Dept. of Mathematics and Computer Science, RIT, Eindhoven, The Netherlands
-
S. Bhat, "Energy models for network-on-chip components, " M. S. thesis, Dept. of Mathematics and Computer Science, RIT, Eindhoven, The Netherlands, 2005.
-
(2005)
Energy Models for Network-on-chip Components
-
-
Bhat, S.1
-
3
-
-
3042613418
-
System level power modeling and simulation of high-end industrial network-on-Chip
-
A. Bona, V. Zaccaria, and R. Zafalon, "System level power modeling and simulation of high-end industrial network-on-Chip, " Proc. DATE, pp. 318-323, 2004.
-
(2004)
Proc. DATE
, pp. 318-323
-
-
Bona, A.1
Zaccaria, V.2
Zafalon, R.3
-
4
-
-
0033719421
-
Wattch: A framework for architectural-level power analysis and optimizations
-
D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: A framework for architectural-level power analysis and optimizations, " Proc. ISCA, pp. 83-94, 2000.
-
(2000)
Proc. ISCA
, pp. 83-94
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
-
5
-
-
84944414165
-
Runtime power monitoring in high-end processors: Methodology and empirical data
-
C. Isci and M. Martonosi, "Runtime power monitoring in high-end processors: Methodology and empirical data, " Proc. MICRO, pp. 93-104, 2003.
-
(2003)
Proc. MICRO
, pp. 93-104
-
-
Isci, C.1
Martonosi, M.2
-
6
-
-
49549112219
-
Interconnect modeling for improved system-level design optimization
-
L. P. Carloni, A. B. Kahng, S. Muddu, A. Pinto, K. Samadi, and P. Sharma, "Interconnect modeling for improved system-level design optimization, " Proc. ASPDAC, pp. 258-264, 2008.
-
(2008)
Proc. ASPDAC
, pp. 258-264
-
-
Carloni, L.P.1
Kahng, A.B.2
Muddu, S.3
Pinto, A.4
Samadi, K.5
Sharma, P.6
-
7
-
-
1542269364
-
Leakage power modeling and optimization in interconnect networks
-
X. Chen and L.-S. Peh, "Leakage power modeling and optimization in interconnect networks, " Proc. ISLPED, pp. 90-95, 2003.
-
(2003)
Proc. ISLPED
, pp. 90-95
-
-
Chen, X.1
Peh, L.-S.2
-
8
-
-
0036999694
-
A clock power model to evaluate impact of architectural and technology optimization
-
Jun
-
D. E. Duarte, N. Vijaykrishnan, and M. J. Irwin, "A clock power model to evaluate impact of architectural and technology optimization, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol. 10, no. 6, pp. 844-855, Jun. 2002.
-
(2002)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.10
, Issue.6
, pp. 844-855
-
-
Duarte, D.E.1
Vijaykrishnan, N.2
Irwin, M.J.3
-
9
-
-
27944434356
-
High-level power analysis for on-chip networks
-
CASES 2004: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
-
N. Eisley and L.-S. Peh, "High-level power analysis for on-chip networks, " Proc. CASES, pp. 104-115, 2004. (Pubitemid 41802772)
-
(2004)
CASES 2004: International Conference on Compilers, Architecture, and Synthesis for Embedded Systems
, pp. 104-115
-
-
Eisley, N.1
Peh, L.-S.2
-
10
-
-
36849022584
-
A 5-GHz mesh interconnect for a teraflops processor
-
DOI 10.1109/MM.2007.4378783
-
Y. Hoskote, S. Vangal, A. Singh, N. Borkar, and S. Borkar, "A 5-GHz mesh interconnect for a teraflops processor, " IEEE MICRO, pp. 51-61, 2007. (Pubitemid 350218387)
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 51-61
-
-
Hoskote, Y.1
Vangal, S.2
Singh, A.3
Borkar, N.4
Borkar, S.5
-
11
-
-
70350060187
-
ORION 2. 0: A fast and accurate NoC power and area model for early-stage design space exploration
-
A. B. Kahng, B. Li, L.-S. Peh, and K. Samadi, "ORION 2. 0: A fast and accurate NoC power and area model for early-stage design space exploration, " Proc. DATE, pp. 423-428, 2009.
-
(2009)
Proc. DATE
, pp. 423-428
-
-
Kahng, A.B.1
Li, B.2
Peh, L.-S.3
Samadi, K.4
-
12
-
-
35348835387
-
Flattened butterfly: A cost-efficient topology for high-radix networks
-
DOI 10.1145/1250662.1250679, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings
-
J. Kim, W. J. Dally, and D. Abts, "Flattened butterfly: A cost-efficient topology for high-radix networks, " Proc. ISCA, pp. 126-137, 2007. (Pubitemid 47582097)
-
(2007)
Proceedings - International Symposium on Computer Architecture
, pp. 126-137
-
-
Kim, J.1
Dally, W.J.2
Abts, D.3
-
13
-
-
52949114554
-
A 4. 6 Tbits/s 3. 6 GHz single-cycle NoC router with a novel switch allocator in 65 nm CMOS
-
A. Kumar, P. Kundu, A. Singh, L.-S. Peh, and N. K. Jha, "A 4. 6 Tbits/s 3. 6 GHz single-cycle NoC router with a novel switch allocator in 65 nm CMOS, " Proc. ICCD, pp. 63-70, 2007.
-
(2007)
Proc. ICCD
, pp. 63-70
-
-
Kumar, A.1
Kundu, P.2
Singh, A.3
Peh, L.-S.4
Jha, N.K.5
-
14
-
-
66749104350
-
Token flow control
-
A. Kumar, L.-S. Peh, and N. K. Jha, "Token flow control, " Proc. MICRO, pp. 342-353, 2008.
-
(2008)
Proc. MICRO
, pp. 342-353
-
-
Kumar, A.1
Peh, L.-S.2
Jha, N.K.3
-
15
-
-
35348858651
-
Express virtual channels: Towards the ideal interconnection fabric
-
DOI 10.1145/1250662.1250681, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings
-
A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jha, "Express virtual channels: Towards the ideal interconnection fabric, " Proc. ISCA, pp. 150-161, 2007. (Pubitemid 47582099)
-
(2007)
Proceedings - International Symposium on Computer Architecture
, pp. 150-161
-
-
Kumar, A.1
Peh, L.-S.2
Kundu, P.3
Jha, N.K.4
-
16
-
-
0031373275
-
Power constrained design of multiprocessor interconnection networks
-
C. S. Patel, S. M. Chai, S. Yalamanchili, and D. E. Schimmel, "Power constrained design of multiprocessor interconnection networks, " Proc. ICCD, pp. 408-416, 1997.
-
(1997)
Proc. ICCD
, pp. 408-416
-
-
Patel, C.S.1
Chai, S.M.2
Yalamanchili, S.3
Schimmel, D.E.4
-
17
-
-
77954195671
-
-
Tech. Rep. HPL-2008-20
-
S. Thoziyoor, N. Muralimanohar, J. H. Ahn, and N. P. Jouppi, CACTI 5. 1 HP Laboratories, Tech. Rep. HPL-2008-20, 2008.
-
(2008)
CACTI 5. 1 HP Laboratories
-
-
Thoziyoor, S.1
Muralimanohar, N.2
Ahn, J.H.3
Jouppi, N.P.4
-
18
-
-
84948976085
-
ORION: A power-performance simulator for interconnection networks
-
H. Wang, X. Zhu, L.-S. Peh, and S. Malik, "ORION: A power-performance simulator for interconnection networks, " Proc. MICRO, pp. 294-395, 2002.
-
(2002)
Proc. MICRO
, pp. 294-395
-
-
Wang, H.1
Zhu, X.2
Peh, L.-S.3
Malik, S.4
-
19
-
-
0033712191
-
The design and use of simplepower: A cycle-accurate energy estimation tool
-
W. Ye, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin, "The design and use of simplepower: A cycle-accurate energy estimation tool, " Proc. DAC, pp. 340-345, 2000.
-
(2000)
Proc. DAC
, pp. 340-345
-
-
Ye, W.1
Vijaykrishnan, N.2
Kandemir, M.3
Irwin, M.J.4
-
20
-
-
4444311818
-
Accurate pre-layout estimation of standard cell characteristics
-
H. Yoshida, D. Kaushik, and V. Boppana, "Accurate pre-layout estimation of standard cell characteristics, " Proc. DAC, pp. 208-211, 2004.
-
(2004)
Proc. DAC
, pp. 208-211
-
-
Yoshida, H.1
Kaushik, D.2
Boppana, V.3
-
21
-
-
84855254656
-
-
International Technology Roadmap for Semiconductors. [Online]. Available
-
International Technology Roadmap for Semiconductors. [Online]. Available: http://public. itrs. net/
-
-
-
-
22
-
-
84855282718
-
-
LEF/DEF Language. [Online]. Available
-
LEF/DEF Language. [Online]. Available: http://openeda. si2. org/projects/lefdef
-
-
-
-
23
-
-
83655168128
-
-
Liberty File Format, Liberty NCX User Guide ver. B-2008. 06-SP2
-
"Liberty File Format, Liberty NCX User Guide, " ver. B-2008. 06-SP2.
-
-
-
-
24
-
-
84855282719
-
-
Predictive Technology Model. [Online]. Available
-
Predictive Technology Model. [Online]. Available: http://www.eas. asu. edu/~ptm/
-
-
-
-
25
-
-
84855282720
-
-
[Online]. Available
-
ORION 2. 0. [Online]. Available: http://vlsicad. ucsd. edu/ORION/
-
-
-
-
26
-
-
84855282721
-
-
[Online]. Available
-
Magma BlastPower. [Online]. Available: http://www.magma-da. com/products-solutions/
-
-
-
-
27
-
-
84855282717
-
-
Netmaker. [Online]. Available
-
Netmaker. [Online]. Available: http://www-dyn. cl. cam. ac. uk/~rdm34/wiki/index. php?title=Main-Page
-
-
-
|