-
2
-
-
0036469652
-
Simplescalar: An infrastructure for computer system modeling
-
February
-
T. Austin, E. Larson, and D. Ernst. Simplescalar: An infrastructure for computer system modeling. Computer, 35:59-67, February 2002.
-
(2002)
Computer
, vol.35
, pp. 59-67
-
-
Austin, T.1
Larson, E.2
Ernst, D.3
-
3
-
-
66749161432
-
Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach
-
R. Bitirgen, E. Ipek, and J. F. Martinez. Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach. In MICRO'41, pages 318-329, 2008.
-
(2008)
MICRO'41
, pp. 318-329
-
-
Bitirgen, R.1
Ipek, E.2
Martinez, J.F.3
-
4
-
-
0033719421
-
Wattch: A framework for architectural-level power analysis and optimizations
-
D. Brooks, V. Tiwari, and M. Martonosi. Wattch: a framework for architectural-level power analysis and optimizations. In ISCA'00, pages 83-94, 2000.
-
(2000)
ISCA'00
, pp. 83-94
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
-
6
-
-
34249813667
-
A performance counter architecture for computing accurate cpi components
-
S. Eyerman, L. Eeckhout, T. Karkhanis, and J. E. Smith. A performance counter architecture for computing accurate cpi components. In ASPLOS-XII, pages 175-184, 2006.
-
(2006)
ASPLOS-XII
, pp. 175-184
-
-
Eyerman, S.1
Eeckhout, L.2
Karkhanis, T.3
Smith, J.E.4
-
7
-
-
67650312346
-
A mechanistic performance model for superscalar out-of-order processors
-
S. Eyerman, L. Eeckhout, T. Karkhanis, and J. E. Smith. A mechanistic performance model for superscalar out-of-order processors. ACM Trans. Comput. Syst., 27(2):1-37, 2009.
-
(2009)
ACM Trans. Comput. Syst.
, vol.27
, Issue.2
, pp. 1-37
-
-
Eyerman, S.1
Eeckhout, L.2
Karkhanis, T.3
Smith, J.E.4
-
8
-
-
47349085427
-
A framework for providing quality of service in chip multi-processors
-
F. Guo, Y. Solihin, L. Zhao, and R. Iyer. A framework for providing quality of service in chip multi-processors. In MICRO'40, pages 343-355, 2007.
-
(2007)
MICRO'40
, pp. 343-355
-
-
Guo, F.1
Solihin, Y.2
Zhao, L.3
Iyer, R.4
-
9
-
-
27444445089
-
Simpoint 3.0: Faster and more flexible program analysis
-
G. Hamerly, E. Perelman, J. Lau, and B. Calder. Simpoint 3.0: Faster and more flexible program analysis. In Journal of Instruction Level Parallelism, volume 7, pages 1-28, 2005.
-
(2005)
Journal of Instruction Level Parallelism
, vol.7
, pp. 1-28
-
-
Hamerly, G.1
Perelman, E.2
Lau, J.3
Calder, B.4
-
11
-
-
33846506102
-
Efficiently exploring architectural design spaces via predictive modeling
-
E. Ïpek, S. A. McKee, R. Caruana, B. R. de Supinski, and M. Schulz. Efficiently exploring architectural design spaces via predictive modeling. In ASPLOS-XII, pages 195-206, 2006.
-
(2006)
ASPLOS-XII
, pp. 195-206
-
-
Ïpek, E.1
McKee, S.A.2
Caruana, R.3
De Supinski, B.R.4
Schulz, M.5
-
12
-
-
33748863916
-
Construction and use of linear regression models for processor performance analysis
-
DOI 10.1109/HPCA.2006.1598116, 1598116, Proceedings - Twelfth International Symposium on High-Performance Computer Architecture, 2006
-
P. Joseph, K. Vaswani, and M. Thazhuthaveetil. Construction and use of linear regression models for processor performance analysis. In HPCA'06, pages 99 - 108, 2006. (Pubitemid 44418381)
-
(2006)
Proceedings - International Symposium on High-Performance Computer Architecture
, vol.2006
, pp. 99-108
-
-
Joseph, P.J.1
Vaswani, K.2
Thazhuthaveetil, M.J.3
-
14
-
-
4644299010
-
A first-order superscalar processor model
-
T. S. Karkhanis and J. E. Smith. A first-order superscalar processor model. In ISCA'04, pages 338-349, 2004.
-
(2004)
ISCA'04
, pp. 338-349
-
-
Karkhanis, T.S.1
Smith, J.E.2
-
15
-
-
35348870650
-
Automated design of application specific superscalar processors: An analytical approach
-
DOI 10.1145/1250662.1250712, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings
-
T. S. Karkhanis and J. E. Smith. Automated design of application specific superscalar processors: an analytical approach. In ISCA'07, pages 402-411, 2007. (Pubitemid 47591584)
-
(2007)
Proceedings - International Symposium on Computer Architecture
, pp. 402-411
-
-
Karkhanis, T.S.1
Smith, J.E.2
-
16
-
-
77952558038
-
A bandwidth-aware memory-subsystem resource management using non-invasive resource profilers for large cmp systems
-
D. Kaseridis, J. Stuecheli, J. Chen, and L. John. A bandwidth-aware memory-subsystem resource management using non-invasive resource profilers for large cmp systems. In HPCA'10, pages 1-11, 2010.
-
(2010)
HPCA'10
, pp. 1-11
-
-
Kaseridis, D.1
Stuecheli, J.2
Chen, J.3
John, L.4
-
17
-
-
34247174509
-
Core architecture optimization for heterogeneous chip multiprocessors
-
DOI 10.1145/1152154.1152162, PACT 2006 - Proceedings of the Fifteenth International Conference on Parallel Architectures and Compilation Techniques
-
R. Kumar, D. M. Tullsen, and N. P. Jouppi. Core architecture optimization for heterogeneous chip multiprocessors. In PACT'06, pages 23-32, 2006. (Pubitemid 46601078)
-
(2006)
Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT
, vol.2006
, pp. 23-32
-
-
Kumar, R.1
Tullsen, D.M.2
Jouppi, N.P.3
-
20
-
-
0014701246
-
Evaluation techniques for storage hierarchies
-
R. L. Mattson, D. R. Slutz, and I. L. Traiger. Evaluation techniques for storage hierarchies. IBM Syst. J., 9(2):78-117, 1970.
-
(1970)
IBM Syst. J.
, vol.9
, Issue.2
, pp. 78-117
-
-
Mattson, R.L.1
Slutz, D.R.2
Traiger, I.L.3
-
22
-
-
35348816719
-
Virtual private caches
-
DOI 10.1145/1250662.1250671, ISCA'07: 34th Annual International Symposium on Computer Architecture, Conference Proceedings
-
K. J. Nesbit, J. Laudon, and J. E. Smith. Virtual private caches. In ISCA'07, pages 57-68, 2007. (Pubitemid 47582091)
-
(2007)
Proceedings - International Symposium on Computer Architecture
, pp. 57-68
-
-
Nesbit, K.J.1
Laudon, J.2
Smith, J.E.3
-
23
-
-
34548042910
-
Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches
-
DOI 10.1109/MICRO.2006.49, 4041865, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
M. K. Qureshi and Y. N. Patt. Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches. In MICRO'06, pages 423-432, 2006. (Pubitemid 351337015)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 423-432
-
-
Qureshi, M.K.1
Patt, Y.N.2
-
25
-
-
0027307813
-
A comparison of dynamic branch predictors that use two levels of branch history
-
T.-Y. Yeh and Y. N. Patt. A comparison of dynamic branch predictors that use two levels of branch history. In ISCA'93, pages 257-266, 1993.
-
(1993)
ISCA'93
, pp. 257-266
-
-
Yeh, T.-Y.1
Patt, Y.N.2
|