-
1
-
-
0033717865
-
Clock rate versus IPC: The end of the road for conventional microarchitectures
-
Vancouver, Canada, June
-
Vikas Agarwal, M. S. Hrishikesh, Stephen W. Keckler, and Doug Burger. Clock Rate Versus IPC: The End of the Road for Conventional Microarchitectures. In Proceedings of the 27th International Symposium on Computer Architecture, pages 248-259, Vancouver, Canada, June 2000.
-
(2000)
Proceedings of the 27th International Symposium on Computer Architecture
, pp. 248-259
-
-
Agarwal, V.1
Hrishikesh, M.S.2
Keckler, S.W.3
Burger, D.4
-
2
-
-
0036469652
-
SimpleScalar: An infrastructure for computer system modeling
-
February
-
Todd Austin, Eric Larson, and Dan Ernst. SimpleScalar: An Infrastructure for Computer System Modeling. IEEE Micro Magazine, pages 59-67, February 2002.
-
(2002)
IEEE Micro Magazine
, pp. 59-67
-
-
Austin, T.1
Larson, E.2
Ernst, D.3
-
3
-
-
17644378782
-
3D processing technology and its impact on IA32 microprocessors
-
San Jose, CA, USA, October
-
Bryan Black, Don Nelson, Clair Webb, and Nick Samra. 3D Processing Technology and its Impact on IA32 Microprocessors. In Proceedings of the 22nd International Conference on Computer Design, pages 316-318, San Jose, CA, USA, October 2004.
-
(2004)
Proceedings of the 22nd International Conference on Computer Design
, pp. 316-318
-
-
Black, B.1
Nelson, D.2
Webb, C.3
Samra, N.4
-
4
-
-
0032592096
-
Design challenges of technology scaling
-
July
-
Shekhar Borkar. Design Challenges of Technology Scaling. IEEE Micro Magazine, 19(4):23-29, July 1999.
-
(1999)
IEEE Micro Magazine
, vol.19
, Issue.4
, pp. 23-29
-
-
Borkar, S.1
-
5
-
-
84861453586
-
Floorplan design for 3-D VLSI design
-
Shanghai, China, January
-
L. Cheng, L. Deng, and M. Wong. Floorplan Design for 3-D VLSI Design. In Proceedings of the Asia South Pacific Design Automation Conference, Shanghai, China, January 2005.
-
(2005)
Proceedings of the Asia South Pacific Design Automation Conference
-
-
Cheng, L.1
Deng, L.2
Wong, M.3
-
7
-
-
84942012494
-
Three-dimensional integrated circuits: Performance, design methodology, and CAD tools
-
Tampa, FL, USA, February
-
Shamik Das, Anantha Chandrakasan, and Rafael Reif. Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools. In Proceedings of the International Symposium on VLSI, pages 13-18, Tampa, FL, USA, February 2003.
-
(2003)
Proceedings of the International Symposium on VLSI
, pp. 13-18
-
-
Das, S.1
Chandrakasan, A.2
Reif, R.3
-
8
-
-
2942639675
-
Technology, performance, and computer-aided design of three-dimensional integrated circuits
-
Phoenix, AZ, USA, April
-
Shamik Das, Andy Fan, Kuan-Neng Chen, and C. S. Tan. Technology, Performance, and Computer-Aided Design of Three-Dimensional Integrated Circuits. In Proceedings of the International Symposium on Physical Design, pages 108-115, Phoenix, AZ, USA, April 2004.
-
(2004)
Proceedings of the International Symposium on Physical Design
, pp. 108-115
-
-
Das, S.1
Fan, A.2
Chen, K.-N.3
Tan, C.S.4
-
9
-
-
2442452519
-
2.5D system integration: A design driven system implementation schema
-
Yokohama, Japan, January
-
Yangdong Deng and Wojciech Maly. 2.5D System Integration: A Design Driven System Implementation Schema. In Proceedings of the Asia South Pacific Design Automation Conference, pages 450-455, Yokohama, Japan, January 2004.
-
(2004)
Proceedings of the Asia South Pacific Design Automation Conference
, pp. 450-455
-
-
Deng, Y.1
Maly, W.2
-
10
-
-
33748557881
-
2 embedded 6T-SRAM bit cell for 65nm CMOS platform
-
Kyoto, Japan, June
-
2 Embedded 6T-SRAM Bit Cell for 65nm CMOS Platform, In Proceedings of the 19th Symposium on VLSI Technology, pages 342-351, Kyoto, Japan, June 2003.
-
(2003)
Proceedings of the 19th Symposium on VLSI Technology
, pp. 342-351
-
-
Arnaud, F.1
-
11
-
-
0347409236
-
Efficient thermal placement of standard cells in 3D ICs using a force directed approach
-
San Jose, CA, USA, November
-
Brent Goplen and Sachin Sapatnekar. Efficient Thermal Placement of Standard Cells in 3D ICs Using a Force Directed Approach. In Proceedings of the International Conference on Computer-Aided Design, pages 81-85, San Jose, CA, USA, November 2003.
-
(2003)
Proceedings of the International Conference on Computer-aided Design
, pp. 81-85
-
-
Goplen, B.1
Sapatnekar, S.2
-
12
-
-
0036928172
-
Electrical integrity of state-of-the-art 0.13μm SOI CMOS devices and circuits transferred for three-dimensional (3D) integrated circuit (IC) fabrication
-
December
-
K. W. Guarini, A. W. Topol, M. Ieong, R. Yu, L. Shi, M. R. Newport, D. J. Frank, D. V. Singh, G. M. Cohen, S. V. Nitta, D. C. Boyd, P. A. O'Neil, S. L. Tempest, H. B. Pogge, S. Purushothaman, and W. E. Haensch. Electrical Integrity of State-of-the-Art 0.13μm SOI CMOS Devices and Circuits Transferred for Three-Dimensional (3D) Integrated Circuit (IC) Fabrication. In Proceedings of the International Electron Devices Meeting, pages 943-945, December 2002.
-
(2002)
Proceedings of the International Electron Devices Meeting
, pp. 943-945
-
-
Guarini, K.W.1
Topol, A.W.2
Ieong, M.3
Yu, R.4
Shi, L.5
Newport, M.R.6
Frank, D.J.7
Singh, D.V.8
Cohen, G.M.9
Nitta, S.V.10
Boyd, D.C.11
O'Neil, P.A.12
Tempest, S.L.13
Pogge, H.B.14
Purushothaman, S.15
Haensch, W.E.16
-
13
-
-
33646922057
-
The future of wires
-
April
-
Ron Ho, Kenneth W. Mai, and Mark A. Horowitz. The Future of Wires. Proceedings of the IEEE, 89(4):490-504, April 2001.
-
(2001)
Proceedings of the IEEE
, vol.89
, Issue.4
, pp. 490-504
-
-
Ho, R.1
Mai, K.W.2
Horowitz, M.A.3
-
14
-
-
84962163449
-
MASE: A novel infrastructure for detailed microarchitectural modeling
-
Tucson, AZ, USA, November
-
Eric Larson, Saugata Chatterjee, and Todd Austin. MASE: A Novel Infrastructure for Detailed Microarchitectural Modeling. In Proceedings of the 2001 International Symposium on Performance Analysis of Systems and Software, pages 1-9, Tucson, AZ, USA, November 2001.
-
(2001)
Proceedings of the 2001 International Symposium on Performance Analysis of Systems and Software
, pp. 1-9
-
-
Larson, E.1
Chatterjee, S.2
Austin, T.3
-
15
-
-
0038375773
-
3D direct vertical interconnect microprocessors test vehicle
-
Washington, DC, USA, April
-
John Mayega, Okan Erdogan, Paul M. Belemjian, Kuan Zhou, John F. McDonald, and Russel P. Kraft. 3D Direct Vertical Interconnect Microprocessors Test Vehicle. In Proceedings of the ACM Great Lakes Symposium on VLSI, pages 141-146, Washington, DC, USA, April 2003.
-
(2003)
Proceedings of the ACM Great Lakes Symposium on VLSI
, pp. 141-146
-
-
Mayega, J.1
Erdogan, O.2
Belemjian, P.M.3
Zhou, K.4
McDonald, J.F.5
Kraft, R.P.6
-
16
-
-
23844447366
-
Wafer-level 3D interconnects via Cu bonding
-
San Diego, CA, USA, October
-
Patrick Morrow, Mauro J. Kobrinsky, Shriram Ramanathan, Chang-Min Park, Michael Harmes, Vijay Ramachandrarao, Hyun mog Park, Grant Kloster, Scott List, and Sarah Kim. Wafer-Level 3D Interconnects Via Cu Bonding. In Proceedings of the 21st Advanced Metallization Conference, San Diego, CA, USA, October 2004.
-
(2004)
Proceedings of the 21st Advanced Metallization Conference
-
-
Morrow, P.1
Kobrinsky, M.J.2
Ramanathan, S.3
Park, C.-M.4
Harmes, M.5
Ramachandrarao, V.6
Park, H.M.7
Kloster, G.8
List, S.9
Kim, S.10
-
17
-
-
33748562922
-
A 3D interconnect methodology applied to iA32-class architectures for performance improvements through RC mitigation
-
Waikoloa Beach, HI, USA, September
-
Don Nelson, Clair Webb, Don McCauley, Kartik Raol, Jeff Rupley II, John DeVale, and Bryan Black. A 3D Interconnect Methodology Applied to iA32-class Architectures for Performance Improvements through RC Mitigation. In Proceedings of the 21st International VLSI Multilevel Interconnection Conference, Waikoloa Beach, HI, USA, September 2004.
-
(2004)
Proceedings of the 21st International VLSI Multilevel Interconnection Conference
-
-
Nelson, D.1
Webb, C.2
McCauley, D.3
Raol, K.4
Rupley II, J.5
DeVale, J.6
Black, B.7
-
18
-
-
84968756972
-
Picking statistically valid and early simulation points
-
New Orleans, LA, USA, September
-
Erez Perelman, Greg Hamerly, and Brad Calder. Picking Statistically Valid and Early Simulation Points. In Proceedings of the 2003 International Conference on Parallel Architectures and Compilation Techniques, pages 244-255, New Orleans, LA, USA, September 2004.
-
(2004)
Proceedings of the 2003 International Conference on Parallel Architectures and Compilation Techniques
, pp. 244-255
-
-
Perelman, E.1
Hamerly, G.2
Calder, B.3
-
19
-
-
0034462309
-
System level performance evaluation of three-dimensional integrated circuits
-
June
-
Arifur Rahrnan and Rafael Reif. System Level Performance Evaluation of Three-Dimensional Integrated Circuits. IEEE Transactions on VLSI, 8(6):671-678, June 2000.
-
(2000)
IEEE Transactions on VLSI
, vol.8
, Issue.6
, pp. 671-678
-
-
Rahrnan, A.1
Reif, R.2
-
20
-
-
25844489123
-
Design aspects of a microprocessor data cache using 3D die interconnect technology
-
Austin, TX, USA, May
-
Paul Reed, Gus Yeung, and Bryan Black. Design Aspects of a Microprocessor Data Cache using 3D Die Interconnect Technology. In Proceedings of the International Conference on Integrated Circuit Design and Technology, pages 15-18, Austin, TX, USA, May 2005.
-
(2005)
Proceedings of the International Conference on Integrated Circuit Design and Technology
, pp. 15-18
-
-
Reed, P.1
Yeung, G.2
Black, B.3
-
21
-
-
84948471389
-
Fabrication technologies for three-dimensional integrated circuits
-
San Jose, CA, USA, March
-
Rafael Reif, Andy Fan, Kuan-Neng Chen, and Shamik Das. Fabrication Technologies for Three-Dimensional Integrated Circuits. In Proceedings of the 3rd International Symposium on Quality Electronic Design, pages 33-37, San Jose, CA, USA, March 2002.
-
(2002)
Proceedings of the 3rd International Symposium on Quality Electronic Design
, pp. 33-37
-
-
Reif, R.1
Fan, A.2
Chen, K.-N.3
Das, S.4
-
22
-
-
0012561327
-
Coming challenges in microarchitecture and architecture
-
March
-
Ronny Ronen, Avi Mendelson, Konrad Lai, Shih-Lien Lu, Fred Pollack, and John P. Shen. Coming Challenges in Microarchitecture and Architecture. Proceedings of the IEEE, 89(3):325-340, March 2001.
-
(2001)
Proceedings of the IEEE
, vol.89
, Issue.3
, pp. 325-340
-
-
Ronen, R.1
Mendelson, A.2
Lai, K.3
Lu, S.-L.4
Pollack, F.5
Shen, J.P.6
-
24
-
-
0032155347
-
VLSI design in the 3rd dimension
-
September
-
S. Strickland, E. Ergin, D. R. Kaeli, and P. Zavracky. VLSI Design in the 3rd Dimension. Integration: the VLSI Journal, 25(1): 1-16, September 1998.
-
(1998)
Integration: The VLSI Journal
, vol.25
, Issue.1
, pp. 1-16
-
-
Strickland, S.1
Ergin, E.2
Kaeli, D.R.3
Zavracky, P.4
-
25
-
-
0033684538
-
An analytical 3-D placement that reserves routing space
-
Geneva, Switzerland, May
-
Thitipong Tanprasert. An Analytical 3-D Placement that Reserves Routing Space. In Proceedings of the International Symposium on Circuits and Systems, volume 3, pages 69-72, Geneva, Switzerland, May 2000.
-
(2000)
Proceedings of the International Symposium on Circuits and Systems
, vol.3
, pp. 69-72
-
-
Tanprasert, T.1
|