메뉴 건너뛰기




Volumn , Issue , 2004, Pages 78-88

Dynamically reducing pressure on the physical register file through simple register sharing

Author keywords

Frequent values; Register renaming; Register sharing; Simultaneous multithreading (SMT)

Indexed keywords

FREQUENT VALUES; INSTRUCTION LEVEL PARALLELISM (ILP); REGISTER RENAMING; REGISTER SHARING; SIMULTANEOUS MULTITHREADING (SMT);

EID: 2642523834     PISSN: None     EISSN: None     Source Type: Book    
DOI: None     Document Type: Conference Paper
Times cited : (26)

References (23)
  • 2
    • 84944396166 scopus 로고    scopus 로고
    • Exploiting value locality in physical register files
    • San Diego, California, December
    • S. Balakrishnan and G. Sohi. Exploiting Value Locality in Physical Register Files. In International Symposium on Microarchitecture, pages 265-276, San Diego, California, December 2003.
    • (2003) International Symposium on Microarchitecture , pp. 265-276
    • Balakrishnan, S.1    Sohi, G.2
  • 3
    • 0035696763 scopus 로고    scopus 로고
    • Reducing the complexity of the register file in dynamic superscalar processors
    • Austin, Texas, December
    • R. Balasubramonian, S. Dwarkadas, and D. Albonesi. Reducing the Complexity of the Register File in Dynamic Superscalar Processors. In International Symposium on Microarchitecture, pages 237-248, Austin, Texas, December 2001.
    • (2001) International Symposium on Microarchitecture , pp. 237-248
    • Balasubramonian, R.1    Dwarkadas, S.2    Albonesi, D.3
  • 5
    • 0032069449 scopus 로고    scopus 로고
    • Issue Logic for a 600-Mhz Out-of-Order Execution Microprocessor
    • May
    • J. Farrell and T. Fischer. Issue Logic for a 600-Mhz Out-of-Order Execution Microprocessor. IEEE Journal of Solid-State Circuits, 33(5):707-712, May 1998.
    • (1998) IEEE Journal of Solid-state Circuits , vol.33 , Issue.5 , pp. 707-712
    • Farrell, J.1    Fischer, T.2
  • 7
    • 0031641244 scopus 로고    scopus 로고
    • Power considerations in the design of the alpha 21264 microprocessor
    • San Francisco, California, June
    • M. Gowan, L. Biro, and D. Jackson. Power Considerations in the Design of the Alpha 21264 Microprocessor. In Design Automation Conference, pages 726-731, San Francisco, California, June 1998.
    • (1998) Design Automation Conference , pp. 726-731
    • Gowan, M.1    Biro, L.2    Jackson, D.3
  • 8
    • 0032315402 scopus 로고    scopus 로고
    • A novel renaming scheme to exploit value temporal locality through physical register reuse and unification
    • Dallas, Texas, November-December
    • S. Jourdan, R. Ronnen, M. Bekerman, B. Shomar, and A. Yoaz. A Novel Renaming Scheme to Exploit Value Temporal Locality through Physical Register Reuse and Unification. In International Symposium on Microarchitecture, pages 216-225, Dallas, Texas, November-December 1998.
    • (1998) International Symposium on Microarchitecture , pp. 216-225
    • Jourdan, S.1    Ronnen, R.2    Bekerman, M.3    Shomar, B.4    Yoaz, A.5
  • 9
    • 0032639289 scopus 로고    scopus 로고
    • The alpha 21264 microprocessor
    • March
    • R. Kessler. The Alpha 21264 Microprocessor. IEEE Micro, 9(2):24-36, March 1999.
    • (1999) IEEE Micro , vol.9 , Issue.2 , pp. 24-36
    • Kessler, R.1
  • 11
    • 0031379698 scopus 로고    scopus 로고
    • Exploiting dead value information
    • Research Triangle Park, North Carolina, December
    • M. Martin, A. Roth, and C. Fischer. Exploiting Dead Value Information. In International Symposium on Microarchitecture, pages 125-135, Research Triangle Park, North Carolina, December 1997.
    • (1997) International Symposium on Microarchitecture , pp. 125-135
    • Martin, M.1    Roth, A.2    Fischer, C.3
  • 13
    • 0028056592 scopus 로고
    • Register renaming and dynamic speculation: An alternative approach
    • Austin, Texas, December
    • M. Moudgill, K. Pingali, and S. Vassiliadis. Register Renaming and Dynamic Speculation: An Alternative Approach. In International Symposium on Microarchitecture, pages 202-213, Austin, Texas, December 1993.
    • (1993) International Symposium on Microarchitecture , pp. 202-213
    • Moudgill, M.1    Pingali, K.2    Vassiliadis, S.3
  • 14
    • 0034825814 scopus 로고    scopus 로고
    • Integrating superscalar processor components to implement register caching
    • Sorrento, Italy, June
    • M. Postiff, D. Greene, S. Raasch, and T. Mudge. Integrating Superscalar Processor Components to Implement Register Caching. In International Conference on Supercomputing, pages 348-357, Sorrento, Italy, June 2001.
    • (2001) International Conference on Supercomputing , pp. 348-357
    • Postiff, M.1    Greene, D.2    Raasch, S.3    Mudge, T.4
  • 16
    • 2642559442 scopus 로고    scopus 로고
    • Register renaming techniques
    • V. Oklobzija, editor, chapter 6.2. CRC Press
    • D. Sima. Register Renaming Techniques. In V. Oklobzija, editor, The Computer Engineering Handbook, chapter 6.2, pages 6.6-6.20. CRC Press, 2002.
    • (2002) The Computer Engineering Handbook
    • Sima, D.1
  • 17
    • 2642579798 scopus 로고    scopus 로고
    • Dynamic register sharing for register pressure reduction: Design issues and considerations
    • Electrical & Computer Engineering Department, University of Rochester, January
    • L. Tran, N. Nelson, F. Ngai, S. Dropsho, and M. Huang. Dynamic Register Sharing for Register Pressure Reduction: Design Issues and Considerations. Technical report, Electrical & Computer Engineering Department, University of Rochester, January 2004.
    • (2004) Technical Report
    • Tran, L.1    Nelson, N.2    Ngai, F.3    Dropsho, S.4    Huang, M.5
  • 18
    • 0029183524 scopus 로고
    • Simultaneous multithreading: Maximizing on-chip parallelism
    • Santa Margherita Ligure, Italy, June
    • D. Tullsen, S. Eggers, and H. Levy. Simultaneous Multithreading: Maximizing On-Chip Parallelism. In International Symposium on Computer Architecture, pages 392-403, Santa Margherita Ligure, Italy, June 1995.
    • (1995) International Symposium on Computer Architecture , pp. 392-403
    • Tullsen, D.1    Eggers, S.2    Levy, H.3
  • 20
    • 0030129806 scopus 로고    scopus 로고
    • The MIPS R10000 superscalar microprocessor
    • April
    • K. Yeager. The MIPS R10000 Superscalar Microprocessor. IEEE Micro, 6(2):28-40, April 1996.
    • (1996) IEEE Micro , vol.6 , Issue.2 , pp. 28-40
    • Yeager, K.1
  • 21
    • 0036396926 scopus 로고    scopus 로고
    • Improving processor performance by simplifying and bypassing trivial computations
    • Freiburg, Germany, September
    • J. Yi and D. Lilja. Improving Processor Performance by Simplifying and Bypassing Trivial Computations. In International Conference on Computer Design, pages 462-465, Freiburg, Germany, September 2002.
    • (2002) International Conference on Computer Design , pp. 462-465
    • Yi, J.1    Lilja, D.2
  • 22
    • 0034462834 scopus 로고    scopus 로고
    • Two-level hierarchical register file organization for VLIW processors
    • Monterey, California, December
    • J. Zalamea, J. Llosa, E. Ayguadé, and M. Valero. Two-level Hierarchical Register File Organization for VLIW Processors. In International Symposium on Microarchitecture, pages 137-146, Monterey, California, December 2000.
    • (2000) International Symposium on Microarchitecture , pp. 137-146
    • Zalamea, J.1    Llosa, J.2    Ayguadé, E.3    Valero, M.4


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.