-
1
-
-
10444224244
-
Prescient instruction prefetch
-
November
-
T. Aamodt, P. Marcuello, P. Chow, P. Hammarlund, and H. Wang. Prescient instruction prefetch. In Proc. of the 6th Workshop on Multithreaded Execution, Architecture and Compilation, pages 2-10, November 2002.
-
(2002)
Proc. of the 6th Workshop on Multithreaded Execution, Architecture and Compilation
, pp. 2-10
-
-
Aamodt, T.1
Marcuello, P.2
Chow, P.3
Hammarlund, P.4
Wang, H.5
-
2
-
-
0026157612
-
IMPACT: An architectural framework for multiple-instruction-issue processors
-
May
-
P. P. Chang, S. A. Mahlke, W. Y. Chen, N. J. Warter, and W. W. Hwu. IMPACT: An architectural framework for multiple-instruction-issue processors. In Proceedings of the 18th International Symposium on Computer Architecture, pages 266-275, May 1991.
-
(1991)
Proceedings of the 18th International Symposium on Computer Architecture
, pp. 266-275
-
-
Chang, P.P.1
Mahlke, S.A.2
Chen, W.Y.3
Warter, N.J.4
Hwu, W.W.5
-
3
-
-
0031237789
-
Simultaneous multithreading: A platform for next-generation processors
-
S. J. Eggers, J. S. Emer, H. M. Levy, J. L. Lo, R. L. Stamm, and D. M. Tullsen. Simultaneous multithreading: A platform for next-generation processors. IEEE Micro, 17(5): 12-26, / 1997.
-
(1997)
IEEE Micro
, vol.17
, Issue.5
, pp. 12-26
-
-
Eggers, S.J.1
Emer, J.S.2
Levy, H.M.3
Lo, J.L.4
Stamm, R.L.5
Tullsen, D.M.6
-
4
-
-
4143116894
-
Contention on 2nd level cache may limit the effectiveness of simultaneous multithreading
-
IRISA
-
S. Hily and A. Seznec. Contention on 2nd level cache may limit the effectiveness of simultaneous multithreading. Technical Report PI-1086, IRISA, 1997.
-
(1997)
Technical Report
, vol.PI-1086
-
-
Hily, S.1
Seznec, A.2
-
6
-
-
21244457025
-
Special issue on intel hyperthreading in pentium-4 processors
-
January
-
Intel Corporation. Special issue on intel hyperthreading in pentium-4 processors. Intel Technology Journal, 1(1), January 2002.
-
(2002)
Intel Technology Journal
, vol.1
, Issue.1
-
-
-
9
-
-
0033348795
-
A chip-multiprocessor architecture with speculative multithreading
-
V. Krishnan and J. Torrellas. A chip-multiprocessor architecture with speculative multithreading. IEEE Transactions on Computers, 48(9): 866-880, 1999.
-
(1999)
IEEE Transactions on Computers
, vol.48
, Issue.9
, pp. 866-880
-
-
Krishnan, V.1
Torrellas, J.2
-
11
-
-
0031594020
-
An analysis of database workload performance on simultaneous multithreaded processors
-
J. L. Lo, L. A. Barroso, S. J. Eggers, K. Gharachorloo, H. M. Levy, and S. S. Parekh. An analysis of database workload performance on simultaneous multithreaded processors. In ISCA, pages 39-50, 1998.
-
(1998)
ISCA
, pp. 39-50
-
-
Lo, J.L.1
Barroso, L.A.2
Eggers, S.J.3
Gharachorloo, K.4
Levy, H.M.5
Parekh, S.S.6
-
12
-
-
0031364101
-
Tuning compiler optimizations for simultaneous multithreading
-
December
-
J. L. Lo, S. J. Eggers, H. M. Levy, S. S. Parekh, and D. M. Tullsen. Tuning compiler optimizations for simultaneous multithreading. In Proceedings of the 30th International Symposium on Microarchitecture, pages 114-124, December 1997.
-
(1997)
Proceedings of the 30th International Symposium on Microarchitecture
, pp. 114-124
-
-
Lo, J.L.1
Eggers, S.J.2
Levy, H.M.3
Parekh, S.S.4
Tullsen, D.M.5
-
13
-
-
0031199614
-
Converting thread-level parallelism to instruction-level parallelism via simultaneous multithreading
-
J. L. Lo, J. S. Emer, H. M. Levy, R. L. Stamm, and D. M. Tullsen. Converting thread-level parallelism to instruction-level parallelism via simultaneous multithreading. ACM Transactions on Computer Systems, 15(3):322-354, 1997.
-
(1997)
ACM Transactions on Computer Systems
, vol.15
, Issue.3
, pp. 322-354
-
-
Lo, J.L.1
Emer, J.S.2
Levy, H.M.3
Stamm, R.L.4
Tullsen, D.M.5
-
15
-
-
0013229812
-
Thread-sensitive scheduling for smt processors
-
University of Wahington, Seattle, WA, May
-
S. Parekh, S. Eggers, and H. Levy. Thread-sensitive scheduling for smt processors. Technical report, University of Wahington, Seattle, WA, May 2000.
-
(2000)
Technical Report
-
-
Parekh, S.1
Eggers, S.2
Levy, H.3
-
17
-
-
0003557978
-
The time varying behavior of programs
-
University of California at San Diego
-
T. Sherwood and B. Calder. The time varying behavior of programs. Technical Report UCSD-CS99-630, University of California at San Diego, 1999.
-
(1999)
Technical Report
, vol.UCSD-CS99-630
-
-
Sherwood, T.1
Calder, B.2
-
20
-
-
84949769332
-
A new memory monitoring scheme for memory-aware scheduling and partitioning
-
G. E. Suh, S. Devadas, and L. Rudolph. A new memory monitoring scheme for memory-aware scheduling and partitioning. In HPCA, pages 117-, 2002.
-
(2002)
HPCA
, pp. 117
-
-
Suh, G.E.1
Devadas, S.2
Rudolph, L.3
-
21
-
-
0029666641
-
Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor
-
D. Tullsen, S. Eggers, J. Emer, H. Levy, J. Lo, and R. Stamm. Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor. In Proceedings of the 23rd annual International Symposium on Computer Architecture, pages 191-202, 1996.
-
(1996)
Proceedings of the 23rd Annual International Symposium on Computer Architecture
, pp. 191-202
-
-
Tullsen, D.1
Eggers, S.2
Emer, J.3
Levy, H.4
Lo, J.5
Stamm, R.6
-
22
-
-
0032786014
-
Supporting fine-grained synchronization on a simultaneous multithreading processor
-
D. M. Tullsen, J. L. Lo, S. J. Eggers, and H. M. Levy. Supporting fine-grained synchronization on a simultaneous multithreading processor. In International Symposium on Architectural Support for Programming Languages and Operating Systems, pages 54-58, 2000.
-
(2000)
International Symposium on Architectural Support for Programming Languages and Operating Systems
, pp. 54-58
-
-
Tullsen, D.M.1
Lo, J.L.2
Eggers, S.J.3
Levy, H.M.4
|