-
1
-
-
57749178620
-
System level analysis of fast, per-core dvfs using on-chip switching regulators
-
W. Kim, M. S. Gupta, G.-Y. Wei, and D. Brooks, "System level analysis of fast, per-core dvfs using on-chip switching regulators," in Proc. Int. Symp. High-Perform. Comput. Archit., 2008, pp. 123-134.
-
(2008)
Proc. Int. Symp. High-Perform. Comput. Archit.
, pp. 123-134
-
-
Kim, W.1
Gupta, M.S.2
Wei, G.-Y.3
Brooks, D.4
-
3
-
-
28244444057
-
Long-term workload phases: Duration predictions and applications to DVFS
-
DOI 10.1109/MM.2005.93
-
C. Isci, A. Buyuktosunoglu, and M. Martonosi, "Long-term workload phases: Duration predictions and applications to DVFS," IEEE Micro, vol. 25, no. 5, pp. 39-51, Sept./Oct. 2005. (Pubitemid 41709737)
-
(2005)
IEEE Micro
, vol.25
, Issue.5
, pp. 39-51
-
-
Isci, C.1
Buyuktosunoglu, A.2
Martonosi, M.3
-
4
-
-
0038345698
-
Phase tracking and prediction
-
Jun.
-
T. Sherwood, S. Sair, and B. Calder, "Phase tracking and prediction," in Proc. 30th Ann. Int. Symp. Comput. Archit., Jun. 2003, pp. 336-347.
-
(2003)
Proc. 30th Ann. Int. Symp. Comput. Archit.
, pp. 336-347
-
-
Sherwood, T.1
Sair, S.2
Calder, B.3
-
5
-
-
70749110119
-
Grouping-based dynamic power management for multi-threaded programs in chip-multiprocessors
-
Aug.
-
M.-K. Huang, J.-M. Chang, and W.-M. Chen, "Grouping-based dynamic power management for multi-threaded programs in chip-multiprocessors," in Proc. Int. Conf. Comput. Sci. Eng., Aug. 2009, vol. 2, pp. 56-63.
-
(2009)
Proc. Int. Conf. Comput. Sci. Eng.
, vol.2
, pp. 56-63
-
-
Huang, M.-K.1
Chang, J.-M.2
Chen, W.-M.3
-
6
-
-
78650888708
-
Memory access aware on-line voltage control for performance and energy optimization
-
Nov
-
X. Chen, C. Xu, and R. Dick, "Memory access aware on-line voltage control for performance and energy optimization," in Proc. IEEE/ACM Int. Conf. Comput. Aided Design (ICCAD), Nov. 2010, pp. 365-372.
-
(2010)
Proc. IEEE/ACM Int. Conf. Comput. Aided Design (ICCAD)
, pp. 365-372
-
-
Chen, X.1
Xu, C.2
Dick, R.3
-
7
-
-
11844285622
-
Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times
-
DOI 10.1109/TCAD.2004.839485, Design Automation and Test in Europe 2004
-
K. Choi, R. Soma, and M. Pedram, "Fine-grained dynamic voltage and frequency scaling for precise energy and performance tradeoff based on the ratio of off-chip access to on-chip computation times," IEEE Trans. Comput. Aided Design Integr. Circuits Syst., vol. 24, no. 1, pp. 18-28, Jan. 2005. (Pubitemid 40086145)
-
(2005)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
, vol.24
, Issue.1
, pp. 18-28
-
-
Choi, K.1
Soma, R.2
Pedram, M.3
-
8
-
-
84155163962
-
Program phase-aware dynamic voltage scaling under variable computational workload and memory stall environment
-
Jan.
-
J. Kim, S. Yoo, and C.-M. Kyung, "Program phase-aware dynamic voltage scaling under variable computational workload and memory stall environment," IEEE Trans. Comput. Aided Design Integr. Circuits Syst., vol. 30, no. 1, pp. 110-123, Jan. 2011.
-
(2011)
IEEE Trans. Comput. Aided Design Integr. Circuits Syst.
, vol.30
, Issue.1
, pp. 110-123
-
-
Kim, J.1
Yoo, S.2
Kyung, C.-M.3
-
9
-
-
36849034066
-
SPEC CPU2006 benchmark descriptions
-
Sep.
-
J. L. Henning, "SPEC CPU2006 benchmark descriptions," SIGARCH Comput. Archit. News, vol. 34, pp. 1-17, Sep. 2006.
-
(2006)
SIGARCH Comput. Archit. News
, vol.34
, pp. 1-17
-
-
Henning, J.L.1
-
10
-
-
84902458624
-
-
Phoronix Media. (Sept.). [Online]
-
Phoronix Media. (Sept. 2011). Phoronix Test Suite [Online]. Available: http://www.phoronix-test-suite.com/
-
(2011)
Phoronix Test Suite
-
-
-
11
-
-
36949001469
-
An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget
-
DOI 10.1109/MICRO.2006.8, 4041859, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi, "An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget," in Proc. IEEE/ACM Int. Symp. Microarchit., 2006, pp. 347-358. (Pubitemid 351337009)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 347-358
-
-
Isci, C.1
Buyuktosunoglu, A.2
Cher, C.-Y.3
Bose, P.4
Martonosi, M.5
-
12
-
-
33744460810
-
Adaptive entitlement control of resource containers on shared servers
-
DOI 10.1109/INM.2005.1440783, 1440783, 2005 9th IFIP/IEEE International Symposium on Integrated Network Management, IM 2005
-
X. Liu, X. Zhu, S. Singhal, and M. Arlitt, "Adaptive entitlement control of resource containers on shared servers," in Proc. IFIP/IEEE Int. Symp. Integr. Netw. Manag., 2005, pp. 163-176. (Pubitemid 43804452)
-
(2005)
2005 9th IFIP/IEEE International Symposium on Integrated Network Management, IM 2005
, vol.2005
, pp. 163-176
-
-
Liu, X.1
Zhu, X.2
Singhal, S.3
Arlitt, M.4
-
13
-
-
34548039260
-
Adaptive control of virtualized resources in utility computing environments
-
DOI 10.1145/1272996.1273026, Operating Systems Review - Proceedings of the 2007 EuroSys Conference
-
P. Padala, K. G. Shin, X. Z. Mustafa, U. Z. Wang, and S. S. Arif, "Adaptive control of virtualized resources in utility computing environments," in Proc. Eur. Conf. Comput. Syst., 2007, pp. 289-302. (Pubitemid 47281590)
-
(2007)
Operating Systems Review (ACM)
, pp. 289-302
-
-
Padala, P.1
Shin, K.G.2
Zhu, X.3
Uysal, M.4
Wang, Z.5
Singhal, S.6
Merchant, A.7
Salem, K.8
-
14
-
-
34047123131
-
Dynamic voltage scaling in multitier web servers with end-to-end delay control
-
DOI 10.1109/TC.2007.1003
-
T. Horvath, T. Abdelzaher, K. Skadron, S. Member, and X. Liu, "Dynamic voltage scaling in multitier web servers with end-to-end delay control," IEEE Trans. Comput., vol. 56, no. 4, Apr. 2007, pp. 444-458. (Pubitemid 46523079)
-
(2007)
IEEE Transactions on Computers
, vol.56
, Issue.4
, pp. 444-458
-
-
Horvath, T.1
Abdelzaher, T.2
Skadron, K.3
Liu, X.4
-
16
-
-
47649131807
-
Continuous frequency adjustment technique based on dynamic workload prediction
-
H. Jung and M. Pedram, "Continuous frequency adjustment technique based on dynamic workload prediction," in Proc. Int. Conf. VLSI Design, 2008, pp. 249-254.
-
(2008)
Proc. Int. Conf. VLSI Design
, pp. 249-254
-
-
Jung, H.1
Pedram, M.2
-
17
-
-
70449377553
-
Feedback-based energy-aware scheduling algorithm for hard real-time tasks
-
Jul.
-
D. song Zhang, S. yao Jin, T. Wu, and H. wei Li, "Feedback-based energy-aware scheduling algorithm for hard real-time tasks," in Proc. IEEE Int. Conf. Netw. Archit. Storage Netw. Archit. and Storage, Jul. 2009, pp. 211-214.
-
(2009)
Proc. IEEE Int. Conf. Netw. Archit. Storage Netw. Archit. and Storage
, pp. 211-214
-
-
Song Zhang, D.1
Yao Jin, S.2
Wu, T.3
Wei Li, H.4
-
18
-
-
84934343336
-
A system level fine-grained dynamic voltage and frequency scaling for portable embedded systems with multiple frequency adjustable components
-
May
-
K. He, Y. Chen, and R. Luo, "A system level fine-grained dynamic voltage and frequency scaling for portable embedded systems with multiple frequency adjustable components," in Proc. IEEE Int. Conf. Portable Inf. Devices, May 2007, pp. 1-5.
-
(2007)
Proc. IEEE Int. Conf. Portable Inf. Devices
, pp. 1-5
-
-
He, K.1
Chen, Y.2
Luo, R.3
-
19
-
-
84865700815
-
Temperature-aware DVFS for hard real-time applications on multi-core processors
-
V. Hanumaiah and S. Vrudhula, "Temperature-aware DVFS for hard real-time applications on multi-core processors," IEEE Trans. Comput., vol. 61, no. 10, pp. 1484-1494, 2011.
-
(2011)
IEEE Trans. Comput.
, vol.61
, Issue.10
, pp. 1484-1494
-
-
Hanumaiah, V.1
Vrudhula, S.2
-
20
-
-
49749119977
-
Adaptive scheduling and voltage scaling for multiprocessor real-time applications with nondeterministic workload
-
P. Malani, P. Mukre, Q. Qiu, and Q. Wu, "Adaptive scheduling and voltage scaling for multiprocessor real-time applications with nondeterministic workload," Design Autom. Test Eur. Conf. Exhib., 2008, pp. 652-657.
-
(2008)
Design Autom. Test Eur. Conf. Exhib.
, pp. 652-657
-
-
Malani, P.1
Mukre, P.2
Qiu, Q.3
Wu, Q.4
-
21
-
-
77950629423
-
Powerpack: Energy profiling and analysis of high-performance systems and applications
-
May
-
R. Ge, X. Feng, S. Song, H.-C. Chang, D. Li, and K. Cameron, "Powerpack: Energy profiling and analysis of high-performance systems and applications," IEEE Trans. Parallel Distrib. Syst., vol. 21, no. 5, pp. 658-671, May 2010.
-
(2010)
IEEE Trans. Parallel Distrib. Syst.
, vol.21
, Issue.5
, pp. 658-671
-
-
Ge, R.1
Feng, X.2
Song, S.3
Chang, H.-C.4
Li, D.5
Cameron, K.6
-
22
-
-
33748879741
-
Dynamic power-performance adaptation of parallel computation on chip multiprocessors
-
DOI 10.1109/HPCA.2006.1598114, 1598114, Proceedings - Twelfth International Symposium on High-Performance Computer Architecture, 2006
-
J. Li and J. Martinez, "Dynamic power-performance adaptation of parallel computation on chip multiprocessors," in Proc. 12th Int. Symp. High-Perform. Comput. Archit., Feb. 2006, pp. 77-87. (Pubitemid 44418379)
-
(2006)
Proceedings - International Symposium on High-Performance Computer Architecture
, vol.2006
, pp. 77-87
-
-
Li, J.1
Martinez, J.F.2
-
23
-
-
46349107390
-
Chameleon: Application-level power management
-
Aug.
-
X. Liu, P. Shenoy, and M. Corner, "Chameleon: Application-level power management," IEEE Trans. Mobile Comput., vol. 7, no. 8, pp. 995-1010, Aug. 2008.
-
(2008)
IEEE Trans. Mobile Comput.
, vol.7
, Issue.8
, pp. 995-1010
-
-
Liu, X.1
Shenoy, P.2
Corner, M.3
-
24
-
-
34547237531
-
PowerSpy: Fine-grained software energy profiling for mobile devices
-
DOI 10.1109/WIRLES.2005.1549572, 1549572, 2005 International Conference on Wireless Networks, Communications and Mobile Computing
-
K. Banerjee and E. Agu, "Powerspy: Fine-grained software energy profiling for mobile devices," in Proc. Int. Conf. Wireless Netw. Commun. Mobile Comput., Jun. 2005, vol. 2, pp. 1136-1141. (Pubitemid 44822470)
-
(2005)
2005 International Conference on Wireless Networks, Communications and Mobile Computing
, vol.2
, pp. 1136-1141
-
-
Banerjee, K.S.1
Agu, E.2
-
25
-
-
79551525721
-
EAD and PEBD: Two energy-aware duplication scheduling algorithms for parallel tasks on homogeneous clusters
-
Mar.
-
Z. Zong, A. Manzanares, X. Ruan, and X. Qin, "EAD and PEBD: Two energy-aware duplication scheduling algorithms for parallel tasks on homogeneous clusters," IEEE Trans. Comput., vol. 60, no. 3, pp. 360-374, Mar. 2011.
-
(2011)
IEEE Trans. Comput.
, vol.60
, Issue.3
, pp. 360-374
-
-
Zong, Z.1
Manzanares, A.2
Ruan, X.3
Qin, X.4
-
26
-
-
77956571461
-
Fine-grained energy profiling for poweraware application design
-
Aug. [Online]
-
A. Kansal and F. Zhao, "Fine-grained energy profiling for poweraware application design," SIGMETRICS Perform. Eval. Rev., vol. 36, pp. 26-31, Aug. 2008 [Online]. Available: http://doi.acm.org/10.1145/1453175.1453180.
-
(2008)
SIGMETRICS Perform. Eval. Rev.
, vol.36
, pp. 26-31
-
-
Kansal, A.1
Zhao, F.2
-
27
-
-
36949027508
-
A programming environment with runtime energy characterization for energy-aware applications
-
DOI 10.1145/1283780.1283811, ISLPED'07: Proceedings of the 2007 International Symposium on Low Power Electronics and Design
-
C. Xian, Y.-H. Lu, and Z. Li, "A programming environment with runtime energy characterization for energy-aware applications," in Proc. ACM/IEEE Int. Symp. Low Power Electron. Design (ISLPED), Aug. 2007, pp. 141-146. (Pubitemid 350239916)
-
(2007)
Proceedings of the International Symposium on Low Power Design
, pp. 141-146
-
-
Xian, C.1
Lu, Y.-H.2
Li, Z.3
-
28
-
-
33746318431
-
Scheduling processor voltage and frequency in server and cluster systems
-
R. Kotla, S. Ghiasi, T. Keller, and F. Rawson, "Scheduling processor voltage and frequency in server and cluster systems," in Proc. IEEE Int. Parallel Distrib. Process. Symp., p. 234b, vol. 12, 2005.
-
(2005)
Proc. IEEE Int. Parallel Distrib. Process. Symp.
, vol.12
-
-
Kotla, R.1
Ghiasi, S.2
Keller, T.3
Rawson, F.4
-
29
-
-
20344389272
-
Dynamic task-level voltage scheduling optimizations
-
DOI 10.1109/TC.2005.77
-
J. Barnett, "Dynamic task-level voltage scheduling optimizations," IEEE Trans. Comput., vol. 54, no. 5, pp. 508-520, May 2005. (Pubitemid 40784350)
-
(2005)
IEEE Transactions on Computers
, vol.54
, Issue.5
, pp. 508-520
-
-
Barnett, J.A.1
-
30
-
-
33646477108
-
Program counter-based prediction techniques for dynamic power management
-
C. Gniady, A. Butt, Y. C. Hu, and Y.-H. Lu, "Program counter-based prediction techniques for dynamic power management," IEEE Trans. Comput., vol. 55, pp. 641-658, 2006.
-
(2006)
IEEE Trans. Comput.
, vol.55
, pp. 641-658
-
-
Gniady, C.1
Butt, A.2
Hu, Y.C.3
Lu, Y.-H.4
-
31
-
-
28444464524
-
Power prediction for intel XScalereg; processors using performance monitoring unit events
-
ISLPED'05 - Proceedings of the 2005 International Symposium on Low Power Electronics and Design
-
G. Contreras and M. Martonosi, "Power prediction for Intel XScale reg; processors using performance monitoring unit events," in Proc. Int. Symp. Low Power Electron. Design, Aug. 2005, pp. 221-226. (Pubitemid 41731658)
-
(2005)
Proceedings of the International Symposium on Low Power Electronics and Design
, pp. 221-226
-
-
Contreras, G.1
Martonosi, M.2
-
32
-
-
27644536618
-
Efficient behavior-driven runtime dynamic voltage scaling policies
-
CODES+ISSS 2005 - International Conference on Hardware/Software Codesign and Systems Synthesis
-
M. Martonosi, S. Malik, and F. Xie, "Efficient behavior-driven runtime dynamic voltage scaling policies," in Proc. 3rd IEEE/ACM/IFIP Int. Conf. Hardware/Software Codes. Syst. Synthesis, Sep. 2005, pp. 105-110. (Pubitemid 41551293)
-
(2005)
CODES+ISSS 2005 - International Conference on Hardware/Software Codesign and System Synthesis
, pp. 105-110
-
-
Xie, F.1
Martonosi, M.2
Malik, S.3
-
33
-
-
52649107085
-
Variation-aware application scheduling and power management for chip multiprocessors
-
Jun.
-
R. Teodorescu and J. Torrellas, "Variation-aware application scheduling and power management for chip multiprocessors," in Proc. 35th Int. Symp. Comput. Archit., Jun. 2008, pp. 363-374.
-
(2008)
Proc. 35th Int. Symp. Comput. Archit.
, pp. 363-374
-
-
Teodorescu, R.1
Torrellas, J.2
-
34
-
-
36949023020
-
Live, runtime phase monitoring and prediction on real systems with application to dynamic power management
-
DOI 10.1109/MICRO.2006.30, 4041860, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-39
-
C. Isci, G. Contreras, and M. Martonosi, "Live, runtime phase monitoring and prediction on real systems with application to dynamic power management," in Proc. 39th Annu. IEEE/ACM Int. Symp. Microarchit., Dec. 2006, pp. 359-370. (Pubitemid 351337010)
-
(2006)
Proceedings of the Annual International Symposium on Microarchitecture, MICRO
, pp. 359-370
-
-
Isci, C.1
Contreras, G.2
Martonosi, M.3
-
35
-
-
84944414165
-
Runtime power monitoring in high-end processors: Methodology and empirical data
-
Dec.
-
C. Isci and M. Martonosi, "Runtime power monitoring in high-end processors: methodology and empirical data," in Proc. 36th Annu. IEEE/ACM Int. Symp. Microarchit., Dec. 2003, pp. 93-104.
-
(2003)
Proc. 36th Annu. IEEE/ACM Int. Symp. Microarchit.
, pp. 93-104
-
-
Isci, C.1
Martonosi, M.2
-
36
-
-
33746285268
-
Toward an evaluation infrastructure for power and energy optimizations
-
Apr.
-
C. Hu, D. Jimenez, and U. Kremer, "Toward an evaluation infrastructure for power and energy optimizations," in Proc. 19th IEEE Int. Parallel Distrib. Process. Symp., Apr. 2005, pp. 143-151.
-
(2005)
Proc. 19th IEEE Int. Parallel Distrib. Process. Symp.
, pp. 143-151
-
-
Hu, C.1
Jimenez, D.2
Kremer, U.3
-
37
-
-
19644379080
-
Characterizing the impact of different memory-intensity levels
-
Proceedings of the 2004 7th Annual IEEE International Workshop on Workload Characterization, WWC-7
-
R. Kotla, A. Devgan, S. Ghiasi, T. Keller, and F. Rawson, "Characterizing the impact of different memory-intensity levels," in Proc. IEEE 7th Annu. Workshop Workload Char. (WWC-7), Oct. 2004, pp. 3-10. (Pubitemid 40738132)
-
(2004)
Proceedings of the 2004 7th Annual IEEE International Workshop on Workload Characterization, WWC-7
, pp. 3-10
-
-
Kotla, R.1
Devgan, A.2
Ghiasi, S.3
Keller, T.4
Rawson, F.5
-
38
-
-
1342324998
-
Discovering and exploiting program phases
-
Nov./Dec.
-
T. Sherwood, E. Perelman, G. Hamerly, S. Sair, and B. Calder, "Discovering and exploiting program phases," IEEE Micro, vol. 23, no. 6, pp. 84-93, Nov./Dec. 2003.
-
(2003)
IEEE Micro
, vol.23
, Issue.6
, pp. 84-93
-
-
Sherwood, T.1
Perelman, E.2
Hamerly, G.3
Sair, S.4
Calder, B.5
-
39
-
-
84968860873
-
Characterizing and predicting program behavior and its variability
-
Sep. 1/Oct.
-
E. Duesterwald, C. Cascaval, and S. Dwarkadas, "Characterizing and predicting program behavior and its variability," in Proc. 12th Int. Conf. Parallel Archit. Compilation Techn., Sep. 1/Oct. 2003, pp. 220-231.
-
(2003)
Proc. 12th Int. Conf. Parallel Archit. Compilation Techn.
, pp. 220-231
-
-
Duesterwald, E.1
Cascaval, C.2
Dwarkadas, S.3
-
41
-
-
4644226058
-
Microarchitecture optimizations for exploiting memory-level parallelism
-
Jun.
-
Y. Chou, B. Fahs, and S. Abraham, "Microarchitecture optimizations for exploiting memory-level parallelism," in Proc. 31st Annu. Int. Symp. Comput. Archit., Jun. 2004, pp. 76-87.
-
(2004)
Proc. 31st Annu. Int. Symp. Comput. Archit.
, pp. 76-87
-
-
Chou, Y.1
Fahs, B.2
Abraham, S.3
-
43
-
-
84901048154
-
-
Intel Corp., Santa Clara, CA: Intel Corp., Nov
-
Intel Corp., Architectures Software Developers Manual, vol. 3A: System Programming Guide, Part 1. Santa Clara, CA: Intel Corp., Nov. 2008.
-
(2008)
Architectures Software Developers Manual, Vol. 3A: System Programming Guide, Part 1
-
-
-
45
-
-
84901063247
-
-
Fluke Corp. (Mar.). [Online]
-
Fluke Corp. (Mar. 2006). Fluke i30 AC/DC Current Clamp Technical Data [Online]. Available: http://support.fluke.com/find-sales/Download/Asset/2747126- 6001-ENG-B-W.PDF
-
(2006)
Fluke i30 AC/DC Current Clamp Technical Data
-
-
-
46
-
-
84901014112
-
-
Agilent Technologies, Inc. (Dec.). [Online]
-
Agilent Technologies, Inc. (Dec. 2011). Agilent 34410A/11A6 1/2 Digit Multimeter User's Guide [Online]. Available: http://cp.literature. agilent.com/litweb/pdf/34410-90001.pdf
-
(2011)
Agilent 34410A/11A6 1/2 Digit Multimeter User's Guide
-
-
|