-
1
-
-
14844360075
-
PLX: An instruction set architecture and testbed for multimedia information processing
-
May
-
R. B. Lee and A. M. Fiskiran, "PLX: An Instruction Set Architecture and Testbed for Multimedia Information Processing," Journal of VLSI Signal Processing, vol. 40, no. 1, pp. 85-108, May 2005.
-
(2005)
Journal of VLSI Signal Processing
, vol.40
, Issue.1
, pp. 85-108
-
-
Lee, R.B.1
Fiskiran, A.M.2
-
3
-
-
0035509391
-
Platform-based design and software design methodology for embedded systems
-
Nov/Dec
-
A. Sangiovanni-Vincentelli and G. Martin, "Platform-Based Design and Software Design Methodology for Embedded Systems," IEEE Design & Test of Computers, vol. 18, no. 6, pp. 23-33, Nov/Dec 2001.
-
(2001)
IEEE Design & Test of Computers
, vol.18
, Issue.6
, pp. 23-33
-
-
Sangiovanni-Vincentelli, A.1
Martin, G.2
-
4
-
-
84889841085
-
-
Texas Instruments
-
Texas Instruments, http://focus.ti.com/general/docs/wtbu/ wtbuproductcontent.tsp?contentId= 4666&navigationId=12314&templateId= 6123.
-
-
-
-
5
-
-
0028714917
-
Petri nets and industrial applications: A tutorial
-
Dec.
-
R. Zurawski and M.-C. Zhou, "Petri Nets and Industrial Applications: A Tutorial," IEEE Transactions on Industrial Electronics, vol. 41, no. 6, pp. 567-583, Dec. 1994.
-
(1994)
IEEE Transactions on Industrial Electronics
, vol.41
, Issue.6
, pp. 567-583
-
-
Zurawski, R.1
Zhou, M.-C.2
-
6
-
-
0003558118
-
-
Kluwer Academic
-
D. D. Gajski, N. D. Dutt, A. C.-H. Wu, and S. Y.-L. Lin, High-Level Synthesis: Introduction to Chip and System Design, Kluwer Academic, 1992.
-
(1992)
High-Level Synthesis: Introduction to Chip and System Design
-
-
Gajski, D.D.1
Dutt, N.D.2
Wu, A.C.-H.3
Lin, S.Y.-L.4
-
7
-
-
30744468841
-
Coordinated parallelizing compiler optimizations and high-level synthesis
-
Oct.
-
S. Gupta, R. K. Gupta, N. D. Dutt, and A. Nicolau, "Coordinated Parallelizing Compiler Optimizations and High-Level Synthesis," ACM Transactions on Design Automation of Electronic Systems, vol. 9, no. 4, pp. 441-470, Oct. 2004.
-
(2004)
ACM Transactions on Design Automation of Electronic Systems
, vol.9
, Issue.4
, pp. 441-470
-
-
Gupta, S.1
Gupta, R.K.2
Dutt, N.D.3
Nicolau, A.4
-
8
-
-
0023385308
-
The program dependence graph and its use in optimization
-
Jul.
-
J. Ferrante, K. J. Ottenstein and J. D. Warren, "The Program Dependence Graph and its Use in Optimization," ACM Transactions on Programming Languages and Systems, vol. 9, no. 3, pp. 319-349, Jul. 1987.
-
(1987)
ACM Transactions on Programming Languages and Systems
, vol.9
, Issue.3
, pp. 319-349
-
-
Ferrante, J.1
Ottenstein, K.J.2
Warren, J.D.3
-
9
-
-
0025228221
-
Interprocedural slicing using dependency graphs
-
Jan.
-
S. Horwitz, T. Reps, and D. Binkley, "Interprocedural Slicing Using Dependency Graphs," ACM Transactions on Programming Languages and Systems, vol. 22, no. 1, pp. 26-60, Jan. 1990.
-
(1990)
ACM Transactions on Programming Languages and Systems
, vol.22
, Issue.1
, pp. 26-60
-
-
Horwitz, S.1
Reps, T.2
Binkley, D.3
-
11
-
-
3543021496
-
A VLSI architecture for variable block size video motion estimation
-
Jul.
-
S. Y. Yap and J. V. McCanny, "A VLSI Architecture for Variable Block Size Video Motion Estimation," IEEE Transactions on Circuits and Systems, vol. 51, no. 7, pp. 384-389, Jul. 2004.
-
(2004)
IEEE Transactions on Circuits and Systems
, vol.51
, Issue.7
, pp. 384-389
-
-
Yap, S.Y.1
McCanny, J.V.2
-
12
-
-
33845258429
-
An efficient hardware implementation for motion estimation of avc standard
-
Nov.
-
L. Deng, W. Gao, M. Z. Hu, and Z. Z. Ji, "An Efficient Hardware Implementation for Motion Estimation of AVC Standard," IEEE Transactions on Consumer Electronics, vol. 51, no. 4, pp. 1360-1366, Nov. 2005.
-
(2005)
IEEE Transactions on Consumer Electronics
, vol.51
, Issue.4
, pp. 1360-1366
-
-
Deng, L.1
Gao, W.2
Hu, M.Z.3
Ji, Z.Z.4
-
13
-
-
33845644392
-
An efficient VLSI architecture for H.264 variable block size motion estimation
-
Nov.
-
C. M. Ou, C. F. Lee, and W. J. Hwang, "An Efficient VLSI Architecture for H.264 Variable Block Size Motion Estimation," IEEE Transactions on Consumer Electronics, vol. 51, no. 4, pp. 1291-1299, Nov. 2005.
-
(2005)
IEEE Transactions on Consumer Electronics
, vol.51
, Issue.4
, pp. 1291-1299
-
-
Ou, C.M.1
Lee, C.F.2
Hwang, W.J.3
-
14
-
-
34548257975
-
Computer vision workload analysis: Case study of video surveillance systems
-
May
-
T. P. Chen, H. Haussecker, A. Bovyrin, R. Belenov, K. Rodyushkin, A. Kuranov and V. Eruhi-mov, "Computer Vision Workload Analysis: Case Study of Video Surveillance Systems," Intel Technology Journal, vol. 9, no. 2, pp. 109-118, May 2005.
-
(2005)
Intel Technology Journal
, vol.9
, Issue.2
, pp. 109-118
-
-
Chen, T.P.1
Haussecker, H.2
Bovyrin, A.3
Belenov, R.4
Rodyushkin, K.5
Kuranov, A.6
Eruhi-Mov, V.7
-
15
-
-
13244283149
-
Color edge detection in presence of gaussian noise using nonlinear prefiltering
-
Feb.
-
F. Russo and A. Lazzari, "Color Edge Detection in Presence of Gaussian Noise Using Nonlinear Prefiltering," IEEE Transactions on Instrumentation and Measurement, vol. 54, no. 1, pp. 352-358, Feb. 2005.
-
(2005)
IEEE Transactions on Instrumentation and Measurement
, vol.54
, Issue.1
, pp. 352-358
-
-
Russo, F.1
Lazzari, A.2
-
16
-
-
0031247746
-
A real-time edge detector: Algorithm and VLSI architecture
-
Oct.
-
F. M. Alzahrani and T. Chen, "A Real-Time Edge Detector: Algorithm and VLSI Architecture," Real-Time Imaging, vol. 3 no. 5, pp. 363-378, Oct. 1997.
-
(1997)
Real-Time Imaging
, vol.3
, Issue.5
, pp. 363-378
-
-
Alzahrani, F.M.1
Chen, T.2
-
17
-
-
0002952019
-
Visual perception of obstacles and vehicles for platooning
-
Sep.
-
A. Broggi, M. Bertozzi, A. Fascioli, C. G. Lo Bianco, and A. Piazzi, "Visual Perception of Obstacles and Vehicles for Platooning," IEEE Transactions on Intelligent Transportation Systems, vol. 01, no. 3, pp. 164-176, Sep. 2000.
-
(2000)
IEEE Transactions on Intelligent Transportation Systems
, vol.1
, Issue.3
, pp. 164-176
-
-
Broggi, A.1
Bertozzi, M.2
Fascioli, A.3
Lo Bianco, C.G.4
Piazzi, A.5
-
18
-
-
17844370273
-
Vehicle detection with a mobile camera: Spotting midrange, distant, and passing cars
-
Mar.
-
M. B. van Leeuwen and F. C. A. Groen, "Vehicle Detection with a Mobile Camera: Spotting Midrange, Distant, and Passing Cars," IEEE Robotics and Automation Magazine, vol. 12, no. 1, pp. 37-43, Mar. 2005.
-
(2005)
IEEE Robotics and Automation Magazine
, vol.12
, Issue.1
, pp. 37-43
-
-
Van Leeuwen, M.B.1
Groen, F.C.A.2
-
19
-
-
21644463633
-
On-road vehicle detection using evolutionary gabor filter optimization
-
Jun.
-
Z. Sun, G. Bebis, and R. Miller, "On-Road Vehicle Detection Using Evolutionary Gabor Filter Optimization," IEEE Transactions on Intelligent Transportation Systems, vol. 6, no. 2, pp. 125-137, Jun. 2005.
-
(2005)
IEEE Transactions on Intelligent Transportation Systems
, vol.6
, Issue.2
, pp. 125-137
-
-
Sun, Z.1
Bebis, G.2
Miller, R.3
-
20
-
-
0038231366
-
Detection and classification of vehicles
-
Mar.
-
S. Gupte, O. Masoud, R. F. K. Martin, and N. P. Papanikolopoulos, "Detection and Classification of Vehicles," IEEE Transactions on Intelligent Transportation Systems, vol. 3, no. 1, pp. 37-47, Mar. 2002.
-
(2002)
IEEE Transactions on Intelligent Transportation Systems
, vol.3
, Issue.1
, pp. 37-47
-
-
Gupte, S.1
Masoud, O.2
Martin, R.F.K.3
Papanikolopoulos, N.P.4
-
21
-
-
84966243285
-
Modular multiplication without trial division
-
Apr.
-
P. L. Montgomery, "Modular multiplication without trial division," Mathematics of Computation, vol. 44, no. 170, pp. 519-521, Apr. 1985.
-
(1985)
Mathematics of Computation
, vol.44
, Issue.170
, pp. 519-521
-
-
Montgomery, P.L.1
-
22
-
-
84889790777
-
-
http://en.wikipedia.org/wiki/DES
-
-
-
-
23
-
-
84889796198
-
-
http://en.wikipedia.org/wiki/AES
-
-
-
-
24
-
-
84889777341
-
-
http://www.systemc.org/groups
-
-
-
-
25
-
-
33846919940
-
An efficient system-level to RTL verification framework for computation-intensive applications
-
Dec.
-
N. D. Liveris, H. Zhou, and P. Banerjee, "An Efficient System-Level to RTL Verification Framework for Computation-Intensive Applications," Proceeding of the 14th Asian Test Symposium, pp. 28-33, Dec. 2005.
-
(2005)
Proceeding of the 14th Asian Test Symposium
, pp. 28-33
-
-
Liveris, N.D.1
Zhou, H.2
Banerjee, P.3
-
26
-
-
0032296538
-
A skeptic's approach to combining HOL and maple
-
Dec.
-
J. R. Harrison and L. Théry, "A skeptic's Approach to Combining HOL and Maple," Journal of Automated Reasoning, vol. 21, no. 3, pp. 279-294, Dec. 1998.
-
(1998)
Journal of Automated Reasoning
, vol.21
, Issue.3
, pp. 279-294
-
-
Harrison, J.R.1
Théry, L.2
-
27
-
-
33746646030
-
An approach for the formal verification of DSP design using theorem proving
-
Aug.
-
B. Akbarpour and S. Tanar, "An Approach for the Formal Verification of DSP Design Using Theorem Proving," IEEE Transactions on Computer-Aided Design, vol. 25, no. 8, pp. 1441-1457, Aug. 2006
-
(2006)
IEEE Transactions on Computer-Aided Design
, vol.25
, Issue.8
, pp. 1441-1457
-
-
Akbarpour, B.1
Tanar, S.2
-
28
-
-
0042134845
-
Behavioral consistency of C and verilog programs using bounded model checking
-
Jun.
-
E. Clarke, D. Kroening, and K. Yorav, "Behavioral Consistency of C and Verilog Programs Using Bounded Model Checking," Proceedings of Design Automation Conference, pp. 368-371, Jun. 2003.
-
(2003)
Proceedings of Design Automation Conference
, pp. 368-371
-
-
Clarke, E.1
Kroening, D.2
Yorav, K.3
-
29
-
-
85008048543
-
Design automation in Europe
-
Oct/Dec
-
A. Jerraya, J. Borel, A. Sauer, W. Rosenstiel, F. Ghenassia, and E. Perea, "Design Automation in Europe," IEEE Design & Test of Computers, vol. 16, no. 4, pp. 90-95, Oct/Dec 1999.
-
(1999)
IEEE Design & Test of Computers
, vol.16
, Issue.4
, pp. 90-95
-
-
Jerraya, A.1
Borel, J.2
Sauer, A.3
Rosenstiel, W.4
Ghenassia, F.5
Perea, E.6
-
30
-
-
49749138902
-
Subsystem exchange in a concurrent design process environment
-
Mar.
-
M. Strik, A. Gonier and P. Williams, "Subsystem Exchange in a Concurrent Design Process Environment," Proceedings of Design, Automation and Test in Europe Conference, pp. 953-958, Mar. 2008.
-
(2008)
Proceedings of Design, Automation and Test in Europe Conference
, pp. 953-958
-
-
Strik, M.1
Gonier, A.2
Williams, P.3
-
31
-
-
0041693948
-
Using transac-tional level models in a SoC design flow
-
Chapter 2, Ed. W. Müller, W. Rosentiel, and J. Ruf Kluwer Academic Publishers
-
A. Clouard, K. Jain, F. Ghenassia, L. Maillet-Contoz, and J. P. Strassen, "Using Transac-tional Level Models in a SoC Design Flow," in SystemC Methodologies and Applications, Chapter 2, pp. 29-63, Ed. W. Müller, W. Rosentiel, and J. Ruf, Kluwer Academic Publishers, 2003.
-
(2003)
SystemC Methodologies and Applications
, pp. 29-63
-
-
Clouard, A.1
Jain, K.2
Ghenassia, F.3
Maillet-Contoz, L.4
Strassen, J.P.5
-
32
-
-
3042653301
-
System C and system verilog: Where do they fit? Where are they going?
-
Feb.
-
W. Rosenstiel, S. Swan, F. Ghenassia, P. Flake, and J. Srouji, "SystemC and SystemVerilog: Where Do They Fit? Where Are They Going?" Proceedings of Design, Automation and Test in Europe Conference and Exhibition, vol. 1, pp. 122-127, Feb. 2004.
-
(2004)
Proceedings of Design, Automation and Test in Europe Conference and Exhibition
, vol.1
, pp. 122-127
-
-
Rosenstiel, W.1
Swan, S.2
Ghenassia, F.3
Flake, P.4
Srouji, J.5
-
33
-
-
0035209108
-
A methodology for the design of application specific instruction-set processors using the machine description language LISA
-
Nov
-
A. Hoffmann, O. Schliebusch, A. Nohl, G. Braun, O. Wahlen, and H. Meyr, "A Methodology for the Design of Application Specific Instruction-Set Processors Using the Machine Description Language LISA," Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, pp. 625-630, Nov. 2001.
-
(2001)
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design
, pp. 625-630
-
-
Hoffmann, A.1
Schliebusch, O.2
Nohl, A.3
Braun, G.4
Wahlen, O.5
Meyr, H.6
-
37
-
-
84889794691
-
-
http://www.ace.nl/compiler/cosy-express.html
-
-
-
-
38
-
-
84938015829
-
-
The ILLIAC IV Computer, Aug.
-
G. H. Barnes, R. M. Brown, M. Kato, D. J. Kuck, D. L. Slotnick and R. A. Stokes, "The ILLIAC IV Computer," IEEE Transactions on Computers, vol. C-17, no. 8, pp. 746-757, Aug. 1968.
-
(1968)
IEEE Transactions on Computers
, vol.C-17
, Issue.8
, pp. 746-757
-
-
Barnes, G.H.1
Brown, R.M.2
Kato, M.3
Kuck, D.J.4
Slotnick, D.L.5
Stokes, R.A.6
-
39
-
-
0017922490
-
The CRAY-1 computer system
-
Jan.
-
R. M. Russel. "The CRAY-1 Computer System," Communications of ACM, vol. 21, no. 1, pp. 63-72, Jan. 1978.
-
(1978)
Communications of ACM
, vol.21
, Issue.1
, pp. 63-72
-
-
Russel, R.M.1
-
40
-
-
0029290814
-
Accelerating multimedia with enhanced microprocessors
-
Apr.
-
R. B. Lee, "Accelerating Multimedia with Enhanced Microprocessors," IEEE Micro, vol. 15, no. 2, pp. 22-32, Apr. 1995.
-
(1995)
IEEE Micro
, vol.15
, Issue.2
, pp. 22-32
-
-
Lee, R.B.1
-
41
-
-
0002517538
-
MMX technology extension to the intel architecture
-
Aug.
-
A. Peleg and U. Weiser, "MMX Technology Extension to the Intel Architecture," IEEE Micro, vol. 16, no. 4, pp. 42-50, Aug. 1996.
-
(1996)
IEEE Micro
, vol.16
, Issue.4
, pp. 42-50
-
-
Peleg, A.1
Weiser, U.2
-
43
-
-
84889811094
-
Motorola's altivec technology
-
Sam Fuller, Motorola's Altivec technology, Freescale 1998, http://www.freescale.com/files/32bit/doc/fact sheet/ALTIVECWP.pdf
-
(1998)
Freescale
-
-
Fuller, S.1
-
44
-
-
84889815645
-
-
3Dnow! Technology Manual, Advanced Micro Devices, Inc.
-
3Dnow! Technology Manual, Advanced Micro Devices, Inc., 1999, http://www.amd.com
-
(1999)
-
-
-
45
-
-
0041606016
-
VIS speeds new media processing
-
Aug.
-
M. Tremblay, J. M. O'Connor, V. Narayanan, and H. Liang, "VIS Speeds New Media Processing," IEEE Micro, vol. 16, no. 4, pp. 10-20, Aug. 1996
-
(1996)
IEEE Micro
, vol.16
, Issue.4
, pp. 10-20
-
-
Tremblay, M.1
O'Connor, J.M.2
Narayanan, V.3
Liang, H.4
-
46
-
-
84889789651
-
-
MIPS Extension for Digital Media with 3D, MIPS Technologies, Inc. Mar.
-
MIPS Extension for Digital Media with 3D, MIPS Technologies, Inc., Mar. 1997, http://www.mips.com
-
(1997)
-
-
-
48
-
-
0032157295
-
Programmable power management architecture for power reduction
-
Sep.
-
T. Ishihara and H. Yasuura, "Programmable Power Management Architecture for Power Reduction," IEICE Transactions on Electronics, vol. E81-C no. 9, pp. 1473-1480, Sep. 1998.
-
(1998)
IEICE Transactions on Electronics
, vol.E81-C
, Issue.9
, pp. 1473-1480
-
-
Ishihara, T.1
Yasuura, H.2
-
49
-
-
0033651716
-
Algorithmic transforms for efficient energy scalable computation
-
Jul.
-
A. Sinha, A. Wang, and A. P. Chandrakasan, "Algorithmic Transforms for Efficient Energy Scalable Computation," Proceedings of the International Symposium on Low Power Electronics and Design, pp. 31-36, Jul. 2000.
-
(2000)
Proceedings of the International Symposium on Low Power Electronics and Design
, pp. 31-36
-
-
Sinha, A.1
Wang, A.2
Chandrakasan, A.P.3
-
50
-
-
0003278283
-
The microarchitecture of pentium 4 processor
-
Feb.
-
G. Hinton, D. Sager, M. Upton, D. Boggs, D. Carmean, A. Kyker, and P. Roussel, "The Microarchitecture of Pentium 4 Processor," Intel Technology Journal, vol. 5, no. 1, pp. 13-24, Feb. 2001.
-
(2001)
Intel Technology Journal
, vol.5
, Issue.1
, pp. 13-24
-
-
Hinton, G.1
Sager, D.2
Upton, M.3
Boggs, D.4
Carmean, D.5
Kyker, A.6
Roussel, P.7
-
51
-
-
84889817392
-
-
http://focus.ti.com/docs/prod/folders/print/tms320c6410.html
-
-
-
-
52
-
-
34248374034
-
-
Center for Embedded Computer Systems Technical Report CECS TR 03-28 University of California, Irvine, October 1
-
D. D. Gajski, NISC: The Ultimate Reconfigurable Component, Center for Embedded Computer Systems Technical Report CECS TR 03-28, University of California, Irvine, October 1, 2003.
-
(2003)
NISC: The Ultimate Reconfigurable Component
-
-
Gajski, D.D.1
-
53
-
-
0021817378
-
Reduced instruction set computers
-
Jan.
-
D. A. Patterson, "Reduced Instruction Set Computers," Communications of ACM, vol. 28, no. 1, pp. 8-21, Jan. 1985.
-
(1985)
Communications of ACM
, vol.28
, Issue.1
, pp. 8-21
-
-
Patterson, D.A.1
-
54
-
-
0029354556
-
RISC versus CISC
-
Aug.-Sep.
-
T. Jamil, "RISC versus CISC," IEEE Potentials, vol. 14, no. 3, pp. 13-16, Aug.-Sep. 1995.
-
(1995)
IEEE Potentials
, vol.14
, Issue.3
, pp. 13-16
-
-
Jamil, T.1
-
56
-
-
0001087280
-
Hyper-threading technology architecture and microarchitecture
-
Feb.
-
D. T. Marr, F. Binns, D. L. Hill, G. Hinton, D. A. Koufaty, J. A. Miller and M. Upton, "Hyper-Threading Technology Architecture and Microarchitecture," Intel Technology Journal, vol. 6, no. 1, pp. 36-46, Feb. 2002.
-
(2002)
Intel Technology Journal
, vol.6
, Issue.1
, pp. 36-46
-
-
Marr, D.T.1
Binns, F.2
Hill, D.L.3
Hinton, G.4
Koufaty, D.A.5
Miller, J.A.6
Upton, M.7
-
57
-
-
84889786826
-
-
http://www.gpgpu.org/
-
-
-
-
58
-
-
84889808330
-
-
http://www.nvidia.com/
-
-
-
-
59
-
-
0031236158
-
Baring it all to Software: Raw machines
-
Sep.
-
E. Waingold, M. Taylor, D. Srikrishna, V. Sarkar, W. Lee, V. Lee, J. Kim, M. Frank, P. Finch, R. Barua, J.Babb, S. Amarasinghe, and A. Agarwal, "Baring it all to Software: Raw Machines," IEEE Computer, pp. 86-93, Sep. 1997.
-
(1997)
IEEE Computer
, pp. 86-93
-
-
Waingold, E.1
Taylor, M.2
Srikrishna, D.3
Sarkar, V.4
Lee, W.5
Lee, V.6
Kim, J.7
Frank, M.8
Finch, P.9
Barua, R.10
Babb, J.11
Amarasinghe, S.12
Agarwal, A.13
-
60
-
-
44349142233
-
Integration challenges and tradeoffs for tera-scale architectures
-
Aug.
-
M. Azimi, N. Cherukuri, D. N. Jayasimha, A. Kumar, P. Kundu, S. Park, I. Schoinas, A. S. Vaidya, "Integration Challenges and Tradeoffs for Tera-scale Architectures," Intel Technology Journal, vol. 11, no. 3, pp. 173-184, Aug. 2007.
-
(2007)
Intel Technology Journal
, vol.11
, Issue.3
, pp. 173-184
-
-
Azimi, M.1
Cherukuri, N.2
Jayasimha, D.N.3
Kumar, A.4
Kundu, P.5
Park, S.6
Schoinas, I.7
Vaidya, A.S.8
-
61
-
-
4644353790
-
Evaluation of the raw microprocessor: An exposed-wire-delay architecture for ILP and streams
-
Jun.
-
M. B. Taylor, W. Lee, J. E. Miller, D. Wentzlaff, I. Bratt, B. Greenwald, H. Hoffmann, P. Johnson, J. Kim, J. Psota, A. Saraf, N. Shnidman, V. Strumpen, M. Frank, S. Amarasinghe, and A. Agarwal. "Evaluation of the Raw Microprocessor: An Exposed-Wire-Delay Architecture for ILP and Streams," Proceedings of the 31st Annual International Symposium on Computer Architecture, pp. 2-13, Jun. 2004.
-
(2004)
Proceedings of the 31st Annual International Symposium on Computer Architecture
, pp. 2-13
-
-
Taylor, M.B.1
Lee, W.2
Miller, J.E.3
Wentzlaff, D.4
Bratt, I.5
Greenwald, B.6
Hoffmann, H.7
Johnson, P.8
Kim, J.9
Psota, J.10
Saraf, A.11
Shnidman, N.12
Strumpen, V.13
Frank, M.14
Amarasinghe, S.15
Agarwal, A.16
-
62
-
-
85027612984
-
Dependence graphs and compiler optimizations
-
Jan.
-
D. J. Kuck, R. H. Kuhn, D. A. Padua, B. Leasure, and M. Wolfe, " Dependence Graphs and Compiler Optimizations," Proceedings of the 8th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, pp. 207-218, Jan. 1981.
-
(1981)
Proceedings of the 8th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages
, pp. 207-218
-
-
Kuck, D.J.1
Kuhn, R.H.2
Padua, D.A.3
Leasure, B.4
Wolfe, M.5
-
63
-
-
0023438847
-
Automatic translation of FORTRAN programs to vector form
-
Oct.
-
K. Kennedy and R. Allen, "Automatic Translation of FORTRAN Programs to Vector Form," ACM Transactions on Programming Languages and Systems, vol. 9, no. 4, pp. 491-554, Oct. 1987.
-
(1987)
ACM Transactions on Programming Languages and Systems
, vol.9
, Issue.4
, pp. 491-554
-
-
Kennedy, K.1
Allen, R.2
-
64
-
-
0026232450
-
A loop transformation theory and an algorithm to maximize parallelism
-
Oct.
-
M. E. Wolf and M. S. Lam, "A Loop Transformation Theory and an Algorithm to Maximize Parallelism," IEEE Transactions on Parallel and Distributed Systems, vol. 2, no. 4, pp. 452-471, Oct. 1991.
-
(1991)
IEEE Transactions on Parallel and Distributed Systems
, vol.2
, Issue.4
, pp. 452-471
-
-
Wolf, M.E.1
Lam, M.S.2
-
66
-
-
0020915645
-
Conversion of control dependence to data dependence
-
Jan.
-
J. R. Allen, K. Kennedy, C. Porterfield and J. Warren, "Conversion of Control Dependence to Data Dependence," Proceedings of the 10th ACM SIGACT-SIGPLAN Symposium on Principles of Programming Languages, pp. 177-189, Jan. 1983.
-
(1983)
Proceedings of the 10th ACM SIGACT-SIGPLAN Symposium on Principles of Programming Languages
, pp. 177-189
-
-
Allen, J.R.1
Kennedy, K.2
Porterfield, C.3
Warren, J.4
-
67
-
-
0028485570
-
The combining DAG: A technique for parallel data flow analysis
-
Aug.
-
R. Kramer, R. Gupta and M. L. Soffa, "The Combining DAG: a Technique for Parallel Data Flow Analysis," IEEE Transactions on Parallel and Distributed Systems, vol. 5, no. 8, pp. 805-813, Aug. 1994.
-
(1994)
IEEE Transactions on Parallel and Distributed Systems
, vol.5
, Issue.8
, pp. 805-813
-
-
Kramer, R.1
Gupta, R.2
Soffa, M.L.3
-
68
-
-
0001790593
-
Depth-first search and linear graph algorithms
-
R. Tarjan, "Depth-first Search and Linear Graph Algorithms," SIAM Journal on Computing, vol. 1, no. 2, pp. 146-160, 1972.
-
(1972)
SIAM Journal on Computing
, vol.1
, Issue.2
, pp. 146-160
-
-
Tarjan, R.1
-
69
-
-
4544335844
-
Vectorization for SIMD architectures with alignment constraints
-
Jun.
-
A. E. Eichenberger, P. Wu, and K. O'Brien, "Vectorization for SIMD Architectures with Alignment Constraints," Proceedings of the SIGPLAN Conference on Programming Language Design and Implementation, pp. 82-93, Jun. 2004.
-
(2004)
Proceedings of the SIGPLAN Conference on Programming Language Design and Implementation
, pp. 82-93
-
-
Eichenberger, A.E.1
Wu, P.2
O'Brien, K.3
-
70
-
-
33646833599
-
Efficient SIMD code generation for runtime alignment and length conversion
-
Mar.
-
P. Wu, A. E. Eichenberger, and A. Wang, "Efficient SIMD Code Generation for Runtime Alignment and Length Conversion," Proceedings of International Symposium on Code Generation and Optimization, CGO, pp. 153-164, Mar. 2005.
-
(2005)
Proceedings of International Symposium on Code Generation and Optimization, CGO
, pp. 153-164
-
-
Wu, P.1
Eichenberger, A.E.2
Wang, A.3
-
71
-
-
33745222449
-
Optimizing data permutations for SIMD devices
-
Jun.
-
G. Ren, P. Wu, and D. Padua, "Optimizing Data Permutations for SIMD Devices," Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, pp. 118-131, Jun. 2006.
-
(2006)
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation
, pp. 118-131
-
-
Ren, G.1
Wu, P.2
Padua, D.3
-
73
-
-
19344363982
-
Efficient utilization of SIMD extensions
-
Feb.
-
F. Franchetti, S. Kral, J. Lorenz, and C. W. Ueberhuber, "Efficient Utilization of SIMD Extensions," Proceedings of the IEEE, vol. 93, no. 2, pp. 409-425, Feb. 2005.
-
(2005)
Proceedings of the IEEE
, vol.93
, Issue.2
, pp. 409-425
-
-
Franchetti, F.1
Kral, S.2
Lorenz, J.3
Ueberhuber, C.W.4
-
76
-
-
50249125395
-
Memory access optimization of motion estimation algorithms on a native SIMD PLX processor
-
Dec.
-
G. H. Lin, S. J. Chen, R. B. Lee, and Y. H. Hu, "Memory Access Optimization of Motion Estimation Algorithms on a Native SIMD PLX Processor," Proceedings IEEE Asia-Pacific Conference on Circuits and Systems, pp. 567-570, Dec. 2006.
-
(2006)
Proceedings IEEE Asia-Pacific Conference on Circuits and Systems
, pp. 567-570
-
-
Lin, G.H.1
Chen, S.J.2
Lee, R.B.3
Hu, Y.H.4
-
77
-
-
34547311216
-
Automatic discovery of coarse-grained parallelism in media applications
-
Springer, Jan.
-
S. Ryoo, S-Z Ueng, C. Rodrigues, R. Kidd, M. Frank, and W-M Hwu, "Automatic Discovery of Coarse-Grained Parallelism in Media Applications," Lecture Notes in Computer Science, Springer, vol. 4050, pp. 194-213, Jan. 2007.
-
(2007)
Lecture Notes in Computer Science
, vol.4050
, pp. 194-213
-
-
Ryoo, S.1
Ueng, S.-Z.2
Rodrigues, C.3
Kidd, R.4
Frank, M.5
Hwu, W.-M.6
-
80
-
-
0004072686
-
-
Addison Wesley
-
A.V. Aho, M. S. Lam, R. Sethi, and J. D. Ullman, Compilers: Principles, Techniques, and Tools, 2nd ed., Addison Wesley, 2007.
-
(2007)
Compilers: Principles, Techniques, and Tools, 2nd Ed
-
-
Aho, A.V.1
Lam, M.S.2
Sethi, R.3
Ullman, J.D.4
-
81
-
-
84889800234
-
-
http://en.wikipedia.org/wiki/Abstract syntax tree
-
-
-
-
83
-
-
84889862390
-
-
http://en.wikipedia.org/wiki/Retargetablecompiler
-
-
-
-
86
-
-
84976692695
-
SUIF: An infrastructure for research on parallelizing and optimizing compilers
-
Dec.
-
R. Wilson, R. French, C. Wilson, S. Amarasinghe, J. Anderson, S. Tjiang, S.-W. Liao, C.-W. Tseng, M. Hall, M. Lam, and J. Hennessy, "SUIF: An Infrastructure for Research on Parallelizing and Optimizing Compilers," ACM SIGPLAN Notices, vol. 29, no. 12, pp. 31-37, Dec. 1994.
-
(1994)
ACM SIGPLAN Notices
, vol.29
, Issue.12
, pp. 31-37
-
-
Wilson, R.1
French, R.2
Wilson, C.3
Amarasinghe, S.4
Anderson, J.5
Tjiang, S.6
Liao, S.-W.7
Tseng, C.-W.8
Hall, M.9
Lam, M.10
Hennessy, J.11
-
87
-
-
0003502085
-
-
Computer Systems Laboratory, Stanford University
-
G. Aigner, A. Diwan, D. L. Heine, M. S. Lam, D. L. Moore, B. R. Murphy, and C. Sapuntzakis, An Overview of the SUIF2 Compiler Infrastructure, Computer Systems Laboratory, Stanford University, http://suif.stanford.edu/suif/suif2/ doc-2.2.0-4/
-
An Overview of the SUIF2 Compiler Infrastructure
-
-
Aigner, G.1
Diwan, A.2
Heine, D.L.3
Lam, M.S.4
Moore, D.L.5
Murphy, B.R.6
Sapuntzakis, C.7
-
88
-
-
84884569163
-
-
Computer Systems Laboratory, Stanford University
-
G. Aigner, A. Diwan, D. L. Heine, M. S. Lam, D. L. Moore, B. R. Murphy, and C. Sapuntzakis, The SUIF Program Representation, Computer Systems Laboratory, Stanford University, 1999, http://suif.stanford.edu/suif/suif2/doc- 2.2.0-4/
-
(1999)
The SUIF Program Representation
-
-
Aigner, G.1
Diwan, A.2
Heine, D.L.3
Lam, M.S.4
Moore, D.L.5
Murphy, B.R.6
Sapuntzakis, C.7
-
89
-
-
84889813970
-
-
http://www.gelato.org
-
-
-
-
90
-
-
0026157612
-
IMPACT: An architectural framework for multiple-instruction-issue processors
-
May
-
P. P. Chang, S. A. Mahlke, W. Y. Chen, N. J. Water, and Wen-mei W. Hwu, "IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors," Proceedings of the 18th Annual International Symposium on Computer Architecture, pp. 266-275, May 1991.
-
(1991)
Proceedings of the 18th Annual International Symposium on Computer Architecture
, pp. 266-275
-
-
Chang, P.P.1
Mahlke, S.A.2
Chen, W.Y.3
Water, N.J.4
Hwu, W.W.5
-
91
-
-
84889768349
-
-
http://www.gelato.uiuc.edu/OpenIMPACT-documentation.php
-
-
-
-
92
-
-
53549131098
-
Build a super simple tasker
-
Jul
-
M. Samek and R. Ward, "Build a Super Simple Tasker," Embedded System Design, Jul. 2006, http://www.embedded.com
-
(2006)
Embedded System Design
-
-
Samek, M.1
Ward, R.2
-
93
-
-
0001135606
-
-
Fundamental Algorithms, 2nd Ed. Addison-Wesley
-
D. Knuth, The Art of Computer Programming Volume 1: Fundamental Algorithms, 2nd Ed., pp. 435-455, Addison-Wesley, 1997.
-
(1997)
The Art of Computer Programming
, vol.1
, pp. 435-455
-
-
Knuth, D.1
-
94
-
-
0004233427
-
-
Addison-Wiley
-
A. Silberschatz, G. Gagne, and P. B. Galvin, Operating System Concepts (Windows XP Update), 6th Ed., Addison-Wiley, 2002.
-
(2002)
Operating System Concepts (Windows XP Update), 6th Ed
-
-
Silberschatz, A.1
Gagne, G.2
Galvin, P.B.3
-
95
-
-
0042631515
-
Overview of the H.264/AVC video coding standard
-
Jul.
-
T. Wiegand, G. J. Sullivan, G. Bjontegaard, and A. Luthra, "Overview of the H.264/AVC Video Coding Standard," IEEE Transactions on Circuits and Systems for Video Technology, vol. 13, no. 7, pp. 560-576, Jul. 2003
-
(2003)
IEEE Transactions on Circuits and Systems for Video Technology
, vol.13
, Issue.7
, pp. 560-576
-
-
Wiegand, T.1
Sullivan, G.J.2
Bjontegaard, G.3
Luthra, A.4
-
96
-
-
84889816677
-
-
RTOS for PLX, Embedded Systems Laboratory, Department of Computer Science & Information Engineering, National Chung Cheng University, Chiayi, Taiwan, Jul.
-
RTOS for PLX, Embedded Systems Laboratory, Department of Computer Science & Information Engineering, National Chung Cheng University, Chiayi, Taiwan, Jul. 2008, http://www.cs.ccu.edu.tw/∼pahsiung/plx os/
-
(2008)
-
-
-
98
-
-
0043132415
-
Low-complexity transform and quantization in H.264/AVC
-
Jul.
-
H. Malvar, A. Hallapuro, M. Karczewicz, and L. Kerofsky, "Low-Complexity Transform and Quantization in H.264/AVC," IEEE Transactions on Circuits and Systems for Video Technology, vol. 13, no. 7, pp. 598-603, Jul. 2003
-
(2003)
IEEE Transactions on Circuits and Systems for Video Technology
, vol.13
, Issue.7
, pp. 598-603
-
-
Malvar, H.1
Hallapuro, A.2
Karczewicz, M.3
Kerofsky, L.4
-
99
-
-
84889782926
-
-
H.264/AVC Reference Software
-
H.264/AVC Reference Software, 2008, http://iphome.hhi.de/suehring/tml/ download/
-
(2008)
-
-
|