-
2
-
-
0029666646
-
Memory bandwidth limitations of future microprocessors
-
D. Burger, J. R. Goodman, and A. K̈gi, "Memory bandwidth limitations of future microprocessors," in Proceedings of the International Symposium on Computer Architecture, 1996, pp. 78-89.
-
(1996)
Proceedings of the International Symposium on Computer Architecture
, pp. 78-89
-
-
Burger, D.1
Goodman, J.R.2
K̈gi, A.3
-
4
-
-
0035187053
-
Exploring the design space of future CMPs
-
J. Huh, D. Burger, and S. W. Keckler, "Exploring the design space of future CMPs," in Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2001, pp. 199-210.
-
(2001)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 199-210
-
-
Huh, J.1
Burger, D.2
Keckler, S.W.3
-
5
-
-
44849137198
-
Nvidia tesla: A unified graphics and computing architecture
-
E. Lindholm, J. Nickolls, S. Oberman, and J. Montrym, "Nvidia tesla: a unified graphics and computing architecture," IEEE Micro, vol. 28, pp. 39-55, 2008.
-
(2008)
IEEE Micro
, vol.28
, pp. 39-55
-
-
Lindholm, E.1
Nickolls, J.2
Oberman, S.3
Montrym, J.4
-
6
-
-
70449715692
-
Exploration of 3D stacked L2 cache design for high performance and efficient thermal control
-
G. Sun, X. Wu, and Y. Xie, "Exploration of 3D stacked L2 cache design for high performance and efficient thermal control," in Proceedings of the International Symposium on Low Power Electronics and Design, 2009, pp. 295-298.
-
(2009)
Proceedings of the International Symposium on Low Power Electronics and Design
, pp. 295-298
-
-
Sun, G.1
Wu, X.2
Xie, Y.3
-
7
-
-
64949106457
-
A novel architecture of the 3D stacked MRAM L2 cache for CMPs
-
G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen, "A novel architecture of the 3D stacked MRAM L2 cache for CMPs," in Proceedings of the International Conference on High-Performance Computer Architecture, 2009, pp. 239-249.
-
(2009)
Proceedings of the International Conference on High-Performance Computer Architecture
, pp. 239-249
-
-
Sun, G.1
Dong, X.2
Xie, Y.3
Li, J.4
Chen, Y.5
-
8
-
-
77956204832
-
Off-chip memory bandwidth minimization through cache partitioning for multi-core platforms
-
C. Yu and P. Petrov, "Off-chip memory bandwidth minimization through cache partitioning for multi-core platforms," in Proceedings of the Design Automation Conference, 2010, pp. 132-137.
-
(2010)
Proceedings of the Design Automation Conference
, pp. 132-137
-
-
Yu, C.1
Petrov, P.2
-
9
-
-
70450243083
-
Hybrid cache architecture with disparate memory technologies
-
X. Wu, J. Li, L. Zhang, E. Speight, R. Rajamony, and Y. Xie, "Hybrid cache architecture with disparate memory technologies," in Proceedings of the International Symposium on Computer Architecture, 2009, pp. 34-45.
-
(2009)
Proceedings of the International Symposium on Computer Architecture
, pp. 34-45
-
-
Wu, X.1
Li, J.2
Zhang, L.3
Speight, E.4
Rajamony, R.5
Xie, Y.6
-
10
-
-
76449096940
-
Nanoscale resistive memory with intrinsic diode characteristics and long endurance
-
K.-H. Kim, S. Hyun Jo, S. Gaba, and W. Lu, "Nanoscale resistive memory with intrinsic diode characteristics and long endurance," Applied Physics Letters, vol. 96, no. 5, pp. 1-3, 2010.
-
(2010)
Applied Physics Letters
, vol.96
, Issue.5
, pp. 1-3
-
-
Kim, K.-H.1
Hyun Jo, S.2
Gaba, S.3
Lu, W.4
-
11
-
-
64949106457
-
A novel architecture of the 3D stacked MRAM L2 cache for CMPs
-
G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen, "A novel architecture of the 3D stacked MRAM L2 cache for CMPs," in Proceedings of the International Symposium on High Performance Computer Architecture, 2009, pp. 239-249.
-
(2009)
Proceedings of the International Symposium on High Performance Computer Architecture
, pp. 239-249
-
-
Sun, G.1
Dong, X.2
Xie, Y.3
Li, J.4
Chen, Y.5
-
12
-
-
0033723131
-
Reconfigurable caches and their application to media processing
-
P. Ranganathan, S. Adve, and N. P. Jouppi, "Reconfigurable caches and their application to media processing," in Proceedings of the International symposium on Computer Architecture, 2000, pp. 214-224.
-
(2000)
Proceedings of the International Symposium on Computer Architecture
, pp. 214-224
-
-
Ranganathan, P.1
Adve, S.2
Jouppi, N.P.3
-
13
-
-
79955910113
-
MorphCache: A reconfigurable adaptive multi-level cache hierarchy
-
Irwin, and Y. Xie
-
S. Srikantaiah, E. Kultursay, T. Zhang, M. Kandemir, M. Irwin, and Y. Xie, "MorphCache: a reconfigurable adaptive multi-level cache hierarchy," in Proceedings of the International Symposium on High Performance Computer Architecture, 2011, pp. 231-242.
-
(2011)
Proceedings of the International Symposium on High Performance Computer Architecture
, pp. 231-242
-
-
Srikantaiah, S.1
Kultursay, E.2
Zhang, T.3
Kandemir, M.M.4
-
14
-
-
12844271066
-
Dynamic tracking of page miss ratio curve for memory management
-
P. Zhou, V. Pandey, J. Sundaresan, A. Raghuraman, Y. Zhou, and S. Kumar, "Dynamic tracking of page miss ratio curve for memory management," in Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, 2004, pp. 177-188.
-
(2004)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 177-188
-
-
Zhou, P.1
Pandey, V.2
Sundaresan, J.3
Raghuraman, A.4
Zhou, Y.5
Kumar, S.6
-
15
-
-
10444238444
-
Fair cache sharing and partitioning in a chip multiprocessor architecture
-
S. Kim, D. Chandra, and Y. Solihin, "Fair cache sharing and partitioning in a chip multiprocessor architecture," in Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2004, pp. 111-122.
-
(2004)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 111-122
-
-
Kim, S.1
Chandra, D.2
Solihin, Y.3
-
16
-
-
84968860873
-
Characterizing and predicting program behavior and its variability
-
E. Duesterwald, C. Cascaval, and S. Dwarkadas, "Characterizing and predicting program behavior and its variability," in Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2003, p. 220.
-
(2003)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 220
-
-
Duesterwald, E.1
Cascaval, C.2
Dwarkadas, S.3
-
18
-
-
78751548975
-
Runtime workload behavior prediction using statistical metric modeling with application to dynamic power management
-
R. Sarikaya, C. Isci, and A. Buyuktosunoglu, "Runtime workload behavior prediction using statistical metric modeling with application to dynamic power management," in Proceedings of the International Symposium on Workload Characterization, 2010, pp. 1-10.
-
(2010)
Proceedings of the International Symposium on Workload Characterization
, pp. 1-10
-
-
Sarikaya, R.1
Isci, C.2
Buyuktosunoglu, A.3
-
19
-
-
79957568212
-
Design implications of memristor-based RRAM cross-point structures
-
C. Xu, X. Dong, N. P. Jouppi, and Y. Xie, "Design implications of memristor-based RRAM cross-point structures," in Proceedings of the Design, Automation and Test in Europe Conference, 2011, pp. 1-6.
-
(2011)
Proceedings of the Design, Automation and Test in Europe Conference
, pp. 1-6
-
-
Xu, C.1
Dong, X.2
Jouppi, N.P.3
Xie, Y.4
-
20
-
-
80052550981
-
-
G. Sun, C. Hughes, C. Kim, J. Zhao, C. Xu, Y. Xie, and Y.-K. Chen, "Moguls: a model to explore memory hierarchy for throughput computing," 2011, pp. 377-388.
-
(2011)
Moguls: A Model to Explore Memory Hierarchy for Throughput Computing
, pp. 377-388
-
-
Sun, G.1
Hughes, C.2
Kim, C.3
Zhao, J.4
Xu, C.5
Xie, Y.6
Chen, Y.-K.7
-
21
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge, "Drowsy caches: simple techniques for reducing leakage power," in Proceedings of the International Symposium on Computer Architecture, 2002, pp. 148-157.
-
(2002)
Proceedings of the International Symposium on Computer Architecture
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
23
-
-
0036469676
-
Simics: A full system simulation platform
-
P. S. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg, J. Hogberg, F. Larsson, A. Moestedt, and B. Werner, "Simics: a full system simulation platform," IEEE Transactions on Computer, vol. 35, no. 2, pp. 50-58, 2002.
-
(2002)
IEEE Transactions on Computer
, vol.35
, Issue.2
, pp. 50-58
-
-
Magnusson, P.S.1
Christensson, M.2
Eskilson, J.3
Forsgren, D.4
Hallberg, G.5
Hogberg, J.6
Larsson, F.7
Moestedt, A.8
Werner, B.9
-
24
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li, "The PARSEC benchmark suite: characterization and architectural implications," in Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2008, pp. 239-249.
-
(2008)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques
, pp. 239-249
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
25
-
-
84862957460
-
-
SPEC OMP, "SPEC OMP2001, http://www.spec.org/omp/.
-
(2001)
SPEC OMP
-
-
-
26
-
-
84894591387
-
-
SPEC CPU, "SPEC CPU2006, http://www.spec.org/cpu2006/.
-
(2006)
SPEC CPU
-
-
|