-
1
-
-
1842425453
-
Billion-transistor architectures: There and back again
-
March
-
D. Burger and J. R. Goodman. Billion-Transistor Architectures: There and Back Again. IEEE Computer, 37(3):22-28, March 2004.
-
(2004)
IEEE Computer
, vol.37
, Issue.3
, pp. 22-28
-
-
Burger, D.1
Goodman, J.R.2
-
2
-
-
78649547501
-
-
Tilera Announces the World's First 100-core Processor (TILE-Gx100)
-
Tilera Announces the World's First 100-core Processor (TILE-Gx100). www.tilera.com/news&events/press release 091026.php.
-
-
-
-
7
-
-
33947389289
-
Exploring the design space of self- regulating power-aware on/off interconnection networks
-
March
-
V. Soteriou and Li-Shiuan Peh. Exploring the Design Space of Self- Regulating Power-Aware On/Off Interconnection Networks. Parallel and Distributed Systems, IEEE Transactions on, 18(3):393-408, March 2007.
-
(2007)
Parallel and Distributed Systems, IEEE Transactions on
, vol.18
, Issue.3
, pp. 393-408
-
-
Soteriou, V.1
Peh, L.-S.2
-
8
-
-
1542299262
-
Energy characterization of a tiled architecture processor with on-chip networks
-
New York, NY, USA, ACM
-
Jason Sungtae Kim, Michael Bedford Taylor, Jason Miller, and David Wentzlaff. Energy Characterization of a Tiled Architecture Processor with On-chip Networks. In Proceedings of the 2003 International Symposium on Low-Power Electronics and Design (ISLPED'03), pages 424-427, New York, NY, USA, 2003. ACM.
-
(2003)
Proceedings of the 2003 International Symposium on Low-Power Electronics and Design (ISLPED'03)
, pp. 424-427
-
-
Kim, J.S.1
Taylor, M.B.2
Miller, J.3
Wentzlaff, D.4
-
9
-
-
84955456130
-
Scalar operand networks: On-chip interconnect for ilp in partitioned architectures
-
IEEE Computer Society
-
Michael Bedford Taylor, Walter Lee, Saman Amarasinghe, and Anant Agarwal. Scalar Operand Networks: On-Chip Interconnect for ILP in Partitioned Architectures. In Proceedings of the The Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), page 341. IEEE Computer Society, 2003.
-
(2003)
Proceedings of the the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03)
, pp. 341
-
-
Taylor, M.B.1
Lee, W.2
Amarasinghe, S.3
Agarwal, A.4
-
10
-
-
34548858682
-
An 80-Tile 1.28TFLOPS network-on-chip in 65nm CMOS
-
Feb.
-
S. Vangal, J. Howard, G. Ruhl, S. Dighe, H. Wilson, J. Tschanz, D. Finan, P. Iyer, A. Singh, T. Jacob, S. Jain, S. Venkataraman, Y. Hoskote and N. Borkar. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS. In IEEE International Solid-State Circuits Conference. Digest of Technical Papers., Feb. 2007.
-
(2007)
IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
-
-
Vangal, S.1
Howard, J.2
Ruhl, G.3
Dighe, S.4
Wilson, H.5
Tschanz, J.6
Finan, D.7
Iyer, P.8
Singh, A.9
Jacob, T.10
Jain, S.11
Venkataraman, S.12
Hoskote, Y.13
Borkar, N.14
-
11
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodology considerations
-
IEEE Computer Society Press, June
-
S. C. Woo, M. Ohara, E. J. Torrie, J-P. Singh, and A. Gupta. The SPLASH-2 Programs: Characterization and Methodology Considerations. In Proceedings of the 22nd International Symposium on Computer Architecture, pages 24-36. IEEE Computer Society Press, June 1995.
-
(1995)
Proceedings of the 22nd International Symposium on Computer Architecture
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.J.3
Singh, J.-P.4
Gupta, A.5
-
12
-
-
78649612705
-
Reducing power consumption in interconnection networks by dynamically adjusting link width
-
Springer-Verlag
-
Marina Alonso, Juan Miguel Martinez, Vicente Santonja and Pedro Lopez. Reducing Power Consumption in Interconnection Networks by Dynamically Adjusting Link Width. In Proceedings of 2004 EuroPar Conference. Springer-Verlag, 2004.
-
(2004)
Proceedings of 2004 EuroPar Conference
-
-
Alonso, M.1
Martinez, J.M.2
Santonja, V.3
Lopez, P.4
-
14
-
-
1542269364
-
Leakage power modeling and optimization in interconnection networks
-
New York, NY, USA, ACM
-
Xuning Chen and Li-Shiuan Peh. Leakage Power Modeling and Optimization in Interconnection Networks. In ISLPED '03: Proceedings of the 2003 international symposium on Low power electronics and design, pages 90-95, New York, NY, USA, 2003. ACM.
-
(2003)
ISLPED '03: Proceedings of the 2003 International Symposium on Low Power Electronics and Design
, pp. 90-95
-
-
Chen, X.1
Peh, L.-S.2
-
15
-
-
55949084306
-
Network reconfiguration suitability for scientific applications
-
Washington, DC, USA, IEEE Computer Society
-
Héctor Montaner, Federico Silla, Vicente Santonja, and José Duato. Network Reconfiguration Suitability for Scientific Applications. In ICPP '08: Proceedings of the 2008 37th International Conference on Parallel Processing, pages 312-319, Washington, DC, USA, 2008. IEEE Computer Society.
-
(2008)
ICPP '08: Proceedings of the 2008 37th International Conference on Parallel Processing
, pp. 312-319
-
-
Montaner, H.1
Silla, F.2
Santonja, V.3
Duato, J.4
-
16
-
-
0028257089
-
Performance evaluation of hierarchical ring-based shared memory multiprocessors
-
M. Holliday and M. Stumm. Performance Evaluation of Hierarchical Ring-Based Shared Memory Multiprocessors. IEEE Transaction on Computers, 43(1):52-67, 1994.
-
(1994)
IEEE Transaction on Computers
, vol.43
, Issue.1
, pp. 52-67
-
-
Holliday, M.1
Stumm, M.2
-
17
-
-
0029515713
-
Comparative modeling and evaluation of CC-NUMA and COMA on hierarchical ring architectures
-
December
-
Xiaodong Zhang and Yong Yan. Comparative Modeling and Evaluation of CC-NUMA and COMA on Hierarchical Ring Architectures. IEEE Transaction on Parallel and Distributed Systems, 6(12):1316-1331, December 1995.
-
(1995)
IEEE Transaction on Parallel and Distributed Systems
, vol.6
, Issue.12
, pp. 1316-1331
-
-
Zhang, X.1
Yan, Y.2
-
18
-
-
0029232382
-
Scalable cache consistency for hierarchically structured multiprocessors
-
Keith Farkas, Zvonko Vranesic, and Michael Stumm. Scalable Cache Consistency for Hierarchically Structured Multiprocessors. Supercomputing, 8(4):345-369, 1995.
-
(1995)
Supercomputing
, vol.8
, Issue.4
, pp. 345-369
-
-
Farkas, K.1
Vranesic, Z.2
Stumm, M.3
-
19
-
-
0035104559
-
Hierarchical ring network configuration and performance modeling
-
V. Carl Hamacher and Hong Jiang. Hierarchical Ring Network Configuration and Performance Modeling. IEEE Transaction on Computers, 50(1):1-12, 2001.
-
(2001)
IEEE Transaction on Computers
, vol.50
, Issue.1
, pp. 1-12
-
-
Hamacher, V.C.1
Jiang, H.2
-
20
-
-
0026105592
-
Paradigm: A highly scalable shared-memory multicomputer architecture
-
Feb
-
D.R. Cheriton, H.A. Goosen, and P.D. Boyle. Paradigm: A Highly Scalable Shared-Memory Multicomputer Architecture. Computer, 24(2):33-46, Feb 1991.
-
(1991)
Computer
, vol.24
, Issue.2
, pp. 33-46
-
-
Cheriton, D.R.1
Goosen, H.A.2
Boyle, P.D.3
-
22
-
-
36849066437
-
Distributed microarchitectural protocols in the TRIPS prototype processor
-
December
-
Karthikeyan Sankaralingam, Ramadass Nagarajan, Robert McDonald, Rajagopalan Desikan, Saurabh Drolia, M.S. Govindan, Paul Gratz, Divya Gulati, Heather Hanson, Changkyu Kim, Haiming Liu, Nitya Ranganathan, Simha Sethumadhavan, Sadia Sharif, Premkishore Shivakumar, Stephen W. Keckler, and Doug Burger. Distributed Microarchitectural Protocols in the TRIPS Prototype Processor. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 39), December 2006.
-
(2006)
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 39)
-
-
Sankaralingam, K.1
Nagarajan, R.2
McDonald, R.3
Desikan, R.4
Drolia, S.5
Govindan, M.S.6
Gratz, P.7
Gulati, D.8
Hanson, H.9
Kim, C.10
Liu, H.11
Ranganathan, N.12
Sethumadhavan, S.13
Sharif, S.14
Shivakumar, P.15
Keckler, S.W.16
Burger, D.17
-
23
-
-
36849030305
-
On-chip interconnection architecture of the tile processor
-
September
-
D. Wentzlaff, P. Griffin, H. Hoffmann, Liewei Bao, B. Edwards, C. Ramey, M. Mattina, Chyi-Chang Miao, J. F. Brown, and A. Agarwal. On-Chip Interconnection Architecture of the Tile Processor. Micro, IEEE, 27(5):15-31, September 2007.
-
(2007)
Micro, IEEE
, vol.27
, Issue.5
, pp. 15-31
-
-
Wentzlaff, D.1
Griffin, P.2
Hoffmann, H.3
Bao, L.4
Edwards, B.5
Ramey, C.6
Mattina, M.7
Miao, C.8
Brown, J.F.9
Agarwal, A.10
-
27
-
-
0023346637
-
Deadlock-free message routing in multiprocessor interconnection networks
-
May
-
W. Dally and C. Seitz. Deadlock-free Message Routing in Multiprocessor Interconnection Networks. IEEE Transactions on Computers, 36(5):547-553, May 1987.
-
(1987)
IEEE Transactions on Computers
, vol.36
, Issue.5
, pp. 547-553
-
-
Dally, W.1
Seitz, C.2
-
31
-
-
33947377694
-
Adaptive bubble router: A design to improve performance in torus networks
-
Valentin Puente, Ramn Beivide, Jose Gregorio, J. M. Prellezo, Jose Duato, and Cruz Izu. Adaptive Bubble Router: A Design to Improve Performance in Torus Networks. In Proceedings of the International Conference on Parallel Processing, 1999.
-
(1999)
Proceedings of the International Conference on Parallel Processing
-
-
Puente, V.1
Beivide, R.2
Gregorio, J.3
Prellezo, J.M.4
Duato, J.5
Izu, C.6
|