-
2
-
-
34548119936
-
-
SPEC
-
SPEC 2000 Benchmark. http://www.spcc.org.
-
(2000)
Benchmark
-
-
-
6
-
-
25844484595
-
Dynamic zero-sensitivity scheme for low-power cache memories
-
Y. Chang and F. Lai, "Dynamic zero-sensitivity scheme for low-power cache memories". IEEE Micro. 25(4), 2005. pp. 20-32.
-
(2005)
IEEE Micro
, vol.25
, Issue.4
, pp. 20-32
-
-
Chang, Y.1
Lai, F.2
-
7
-
-
0141509028
-
Design and analysis of low-power cache using two-level filter scheme
-
Y. Chang, et.al., "Design and analysis of low-power cache using two-level filter scheme". IEEE Transactions on Very Large Scale Integration Systems, 11(4), 2003, pp. 568-580.
-
(2003)
IEEE Transactions on Very Large Scale Integration Systems
, vol.11
, Issue.4
, pp. 568-580
-
-
Chang, Y.1
-
8
-
-
0033719421
-
Wattch: A framework for architectural-level power analysis and optimizations
-
D. Brooks, et.al., "Wattch: a framework for architectural-level power analysis and optimizations". International Symposium on Computer Architecture, 2000, pp. 83-94.
-
(2000)
International Symposium on Computer Architecture
, pp. 83-94
-
-
Brooks, D.1
-
9
-
-
0002273061
-
Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation
-
K. Ghose and M. B. Kamble, "Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation". International Symposium on Low Power Electronics and Design. 1999, pp. 306-315.
-
(1999)
International Symposium on Low Power Electronics and Design
, pp. 306-315
-
-
Ghose, K.1
Kamble, M.B.2
-
10
-
-
57649085955
-
Effective Instruction Scheduling Techniques for an Interleaved Cache Clustered VLIW Processor
-
E. Gibert, J. Sánchez, A. Gonzalez. "Effective Instruction Scheduling Techniques for an Interleaved Cache Clustered VLIW Processor". IEEE Micro, 2002, pp. 123-133.
-
(2002)
IEEE Micro
, pp. 123-133
-
-
Gibert, E.1
Sánchez, J.2
Gonzalez, A.3
-
11
-
-
0029492342
-
SH3: High code density, low power
-
A. Hasegawa, et.al., "SH3: high code density, low power". IEEE Micro, 15(6), 1995, pp. 11-19.
-
(1995)
IEEE Micro
, vol.15
, Issue.6
, pp. 11-19
-
-
Hasegawa, A.1
-
14
-
-
0033363078
-
Way-predicting set-associative cache for high performance and low energy consumption
-
K. Inoue, et.al., "Way-predicting set-associative cache for high performance and low energy consumption". International Symposium on Low Power Electronics and Design, 1999, pp. 273-275.
-
(1999)
International Symposium on Low Power Electronics and Design
, pp. 273-275
-
-
Inoue, K.1
-
15
-
-
17644416840
-
Powcr-aware deterministic block allocation for low-power way-selective cache structure
-
P. Jung-Wook, et.al., "Powcr-aware deterministic block allocation for low-power way-selective cache structure". International Conference on Computer Design, 2004, pp. 42-47.
-
(2004)
International Conference on Computer Design
, pp. 42-47
-
-
Jung-Wook, P.1
-
16
-
-
0034856732
-
Cache decay: Exploiting generational behavior to reduce cache leakage power
-
S. Kaxiras, et.al., "Cache decay: exploiting generational behavior to reduce cache leakage power". ACM SIGARCH Computer Architecture News, 29(2), 2001, pp. 240-251.
-
(2001)
ACM SIGARCH Computer Architecture News
, vol.29
, Issue.2
, pp. 240-251
-
-
Kaxiras, S.1
-
17
-
-
0036949388
-
An Adaptive, NonUniform Cache Structure for WireDelay Dominated OnChip Caches
-
C. Kim, D. Burger and S. W. Kecklcr, "An Adaptive, NonUniform Cache Structure for WireDelay Dominated OnChip Caches". ASPLOS, 2002, pp. 211-222.
-
(2002)
ASPLOS
, pp. 211-222
-
-
Kim, C.1
Burger, D.2
Kecklcr, S.W.3
-
18
-
-
0031336708
-
The filter cache: An energy efficient memory structure
-
J. Kin, et.al., "The filter cache: an energy efficient memory structure". International Symposium on Microarchitecture, 1999, pp. 184-193.
-
(1999)
International Symposium on Microarchitecture
, pp. 184-193
-
-
Kin, J.1
-
23
-
-
34547664408
-
CACTI 4.0: An Integrated Cache Timing, Power and Area Model
-
Technical report, HP Laboratories Palo Alto. June
-
D. Tarjan, S. Thoziyoor and N. Jouppi, "CACTI 4.0: An Integrated Cache Timing, Power and Area Model", Technical report, HP Laboratories Palo Alto. June 2006.
-
(2006)
-
-
Tarjan, D.1
Thoziyoor, S.2
Jouppi, N.3
-
26
-
-
36949018665
-
Reducing cache energy consumption by tag encoding in embedded processors
-
M. Zhang, et.al., "Reducing cache energy consumption by tag encoding in embedded processors". International Symposium on Low Power Electronics and Design, 2007, pp. 367-370.
-
(2007)
International Symposium on Low Power Electronics and Design
, pp. 367-370
-
-
Zhang, M.1
-
27
-
-
0036504804
-
Access-mode predictions for low-power cache design
-
Z. Zhang and X. Zhang, "Access-mode predictions for low-power cache design". IEEE Micro, 22(2), 2002, pp. 58-71.
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 58-71
-
-
Zhang, Z.1
Zhang, X.2
|