-
1
-
-
0026938452
-
-
J.R. Allen, K. Kennedy, Vector register allocation, IEEE Trans. Comput. 41 (10) (2002) 1290-1317.
-
J.R. Allen, K. Kennedy, Vector register allocation, IEEE Trans. Comput. 41 (10) (2002) 1290-1317.
-
-
-
-
2
-
-
0035680483
-
-
H. Aydin, R. Melhem, D. Mosse, P. Alvarez, Dynamic and aggressive scheduling techniques for power aware real-time systems, in: RTSS, 2001.
-
H. Aydin, R. Melhem, D. Mosse, P. Alvarez, Dynamic and aggressive scheduling techniques for power aware real-time systems, in: RTSS, 2001.
-
-
-
-
3
-
-
1942436288
-
Scheduling strategies for master-slave tasking on heterogeneous processor platforms
-
Banino C., Beaumont O., Carter L., Ferrante J., Legrand A., and Robert Y. Scheduling strategies for master-slave tasking on heterogeneous processor platforms. IEEE Trans. Parallel Distributed Systems 15 4 (2004)
-
(2004)
IEEE Trans. Parallel Distributed Systems
, vol.15
, Issue.4
-
-
Banino, C.1
Beaumont, O.2
Carter, L.3
Ferrante, J.4
Legrand, A.5
Robert, Y.6
-
5
-
-
0003913538
-
-
Kluwer Academic Publishers, Dordrecht
-
Catthoor F., Wuytack S., Greef E.D., Balasa F., Nachtergaele L., and Vandecappelle A. Custom Memory Management Methodology-Exploration of Memory Organization for Embedded Multimedia System Design (June 1998), Kluwer Academic Publishers, Dordrecht
-
(1998)
Custom Memory Management Methodology-Exploration of Memory Organization for Embedded Multimedia System Design
-
-
Catthoor, F.1
Wuytack, S.2
Greef, E.D.3
Balasa, F.4
Nachtergaele, L.5
Vandecappelle, A.6
-
9
-
-
33745136467
-
-
J.-J. Chen, T.-W. Kuo, Multiprocessor energy-efficient scheduling for real-time tasks with different power characteristics, in: ICPP, 2005.
-
J.-J. Chen, T.-W. Kuo, Multiprocessor energy-efficient scheduling for real-time tasks with different power characteristics, in: ICPP, 2005.
-
-
-
-
10
-
-
39749102035
-
-
TM microprocessors, in: Symposium on VLSI Circuits, Short Course on Physical Design for Low-Power and High-Performance Microprocessor Circuits, June 2001.
-
TM microprocessors, in: Symposium on VLSI Circuits, Short Course on Physical Design for Low-Power and High-Performance Microprocessor Circuits, June 2001.
-
-
-
-
11
-
-
1642502420
-
-
C. Ding, K. Kennedy, Improving effective bandwidth through compiler enhancement of global cache reuse, J. Parallel and Distributed Comput. 64 (1) (2004) 108-134.
-
C. Ding, K. Kennedy, Improving effective bandwidth through compiler enhancement of global cache reuse, J. Parallel and Distributed Comput. 64 (1) (2004) 108-134.
-
-
-
-
12
-
-
0036504529
-
Matching and scheduling algorithms for minimizing execution time and failure probability of applications in heterogeneous computing
-
Dogan A., and Özgüner F. Matching and scheduling algorithms for minimizing execution time and failure probability of applications in heterogeneous computing. IEEE T. Parall. Distr. 13 3 (2002) 308-323
-
(2002)
IEEE T. Parall. Distr.
, vol.13
, Issue.3
, pp. 308-323
-
-
Dogan, A.1
Özgüner, F.2
-
13
-
-
39749195912
-
-
M. Fleischmann, Crusoe power management-reducing the operating power with longrun, in: The 12th HOT CHIPS Symposium, August 2000.
-
M. Fleischmann, Crusoe power management-reducing the operating power with longrun, in: The 12th HOT CHIPS Symposium, August 2000.
-
-
-
-
14
-
-
39749197988
-
-
G. Gao, R. Olsen, V. Sarkar, R. Thekkath, Collective loop fusion for array contraction, in: The 5th Workshop on Languages and Compilers for Parallel Computing, August 1992.
-
G. Gao, R. Olsen, V. Sarkar, R. Thekkath, Collective loop fusion for array contraction, in: The 5th Workshop on Languages and Compilers for Parallel Computing, August 1992.
-
-
-
-
16
-
-
0042090422
-
-
S. Hua, G. Qu, S.S. Bhattacharyya, Energy reduction techniques for multimedia applications with tolerance to deadline misses, in: ACM/IEEE Design Automation Conference (DAC), 2003.
-
S. Hua, G. Qu, S.S. Bhattacharyya, Energy reduction techniques for multimedia applications with tolerance to deadline misses, in: ACM/IEEE Design Automation Conference (DAC), 2003.
-
-
-
-
17
-
-
84941272498
-
-
S. Hua, G. Qu, S.S. Bhattacharyya, Exploring the probabilistic design space of multimedia systems, in: IEEE International Workshop on Rapid System Prototyping, 2003.
-
S. Hua, G. Qu, S.S. Bhattacharyya, Exploring the probabilistic design space of multimedia systems, in: IEEE International Workshop on Rapid System Prototyping, 2003.
-
-
-
-
18
-
-
39749103939
-
-
Intel, The Intel XScale microarchitecture, Technical Summary, 2000.
-
Intel, The Intel XScale microarchitecture, Technical Summary, 2000.
-
-
-
-
19
-
-
0031622060
-
-
T. Ishihara, H. Yasuura, Voltage scheduling problem for dynamically variable voltage processor, in: ISLPED, 1998.
-
T. Ishihara, H. Yasuura, Voltage scheduling problem for dynamically variable voltage processor, in: ISLPED, 1998.
-
-
-
-
21
-
-
0029538537
-
-
K. Ito, K. Parhi, Register minimization in cost-optimal synthesis of dsp architecture, in: Proceedings of the IEEE VLSI Signal Processing Workshop, October 1995.
-
K. Ito, K. Parhi, Register minimization in cost-optimal synthesis of dsp architecture, in: Proceedings of the IEEE VLSI Signal Processing Workshop, October 1995.
-
-
-
-
22
-
-
39749088554
-
-
ITRS, International Technology Roadmap for Semiconductors, International SEMATECH, Austin, TX 〈http://public.itrs.net/〉.
-
ITRS, International Technology Roadmap for Semiconductors, International SEMATECH, Austin, TX 〈http://public.itrs.net/〉.
-
-
-
-
23
-
-
0036294823
-
-
A. Iyer, D. Marculescu, Power-performance evaluation of globally asynchronous, locally synchronous processors, in: The 29th International Symposium on Computer Architecture, May 2002.
-
A. Iyer, D. Marculescu, Power-performance evaluation of globally asynchronous, locally synchronous processors, in: The 29th International Symposium on Computer Architecture, May 2002.
-
-
-
-
24
-
-
28444474890
-
-
M. Kandemir, S. Son, G. Chen, An evaluation of code and data optimizations in the context of disk power reduction, in: ISLPED, 2005.
-
M. Kandemir, S. Son, G. Chen, An evaluation of code and data optimizations in the context of disk power reduction, in: ISLPED, 2005.
-
-
-
-
25
-
-
0033718333
-
-
M. Kandemir, N. Vijaykrishnan, M. Irwin, W. Ye, Influence of compiler optimizations on system power, in: Design Automation Conference (DAC), 2000.
-
M. Kandemir, N. Vijaykrishnan, M. Irwin, W. Ye, Influence of compiler optimizations on system power, in: Design Automation Conference (DAC), 2000.
-
-
-
-
26
-
-
39749089686
-
-
K. Kennedy, K.S. Mckinley, Maximizing loop parallelism and improving data locality via loop fusion and distribution, in: Languages and Compilers for Parallel Computing, Lecture Notes in Computer Science, vol. 768, 1993.
-
K. Kennedy, K.S. Mckinley, Maximizing loop parallelism and improving data locality via loop fusion and distribution, in: Languages and Compilers for Parallel Computing, Lecture Notes in Computer Science, vol. 768, 1993.
-
-
-
-
28
-
-
33745465908
-
An efficient algorithm for computing optimal discrete voltage schedules
-
Li M., and Yao F. An efficient algorithm for computing optimal discrete voltage schedules. SIAM J. Comput. 35 3 (2005)
-
(2005)
SIAM J. Comput.
, vol.35
, Issue.3
-
-
Li, M.1
Yao, F.2
-
29
-
-
29144529825
-
-
M. Liu, Q. Zhuge, Z. Shao, E.H.-M. Sha, General loop fusion technique for nested loops considering timing and code size, in: CASES, 2004.
-
M. Liu, Q. Zhuge, Z. Shao, E.H.-M. Sha, General loop fusion technique for nested loops considering timing and code size, in: CASES, 2004.
-
-
-
-
30
-
-
84962292024
-
-
J. Luo, N. Jha, Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems, in: VLSID, 2002.
-
J. Luo, N. Jha, Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems, in: VLSID, 2002.
-
-
-
-
32
-
-
0030711348
-
-
N. Megiddo, V. Sarkar, Optimal weighted loop fusion for parallel programs, in: The 9th Annual ACM Symposium on Parallel Algorithms and Architectures, 1997.
-
N. Megiddo, V. Sarkar, Optimal weighted loop fusion for parallel programs, in: The 9th Annual ACM Symposium on Parallel Algorithms and Architectures, 1997.
-
-
-
-
35
-
-
0036979933
-
-
Y. Qian, S. Carr, P. Sweany, Loop fusion for clustered vliw architecture, in: LCTES-SCOPES, 2002.
-
Y. Qian, S. Carr, P. Sweany, Loop fusion for clustered vliw architecture, in: LCTES-SCOPES, 2002.
-
-
-
-
36
-
-
39749088553
-
-
Y. Qian, S. Carr, P. Sweany, Optimizing loop performance for clustered vliw architectures, in: IEEE PACT, 2002.
-
Y. Qian, S. Carr, P. Sweany, Optimizing loop performance for clustered vliw architectures, in: IEEE PACT, 2002.
-
-
-
-
37
-
-
0025415048
-
Alpha-power law mosfet model and its application to cmos inverter delay and other formulas
-
Sakurai T., and Newton A.R. Alpha-power law mosfet model and its application to cmos inverter delay and other formulas. IEEE J. Solid-State Circuits SC-25 2 (1990)
-
(1990)
IEEE J. Solid-State Circuits
, vol.SC-25
, Issue.2
-
-
Sakurai, T.1
Newton, A.R.2
-
38
-
-
0036974702
-
-
H. Saputra, M. Kandemir, N. Vijaykrishnan, M.J. Irwin, J.S. Hu, C.-H. Hsu, U. Kremer, Energy-conscious compilation based on voltage scaling, in: LCTES'02, June 2002.
-
H. Saputra, M. Kandemir, N. Vijaykrishnan, M.J. Irwin, J.S. Hu, C.-H. Hsu, U. Kremer, Energy-conscious compilation based on voltage scaling, in: LCTES'02, June 2002.
-
-
-
-
39
-
-
33746585048
-
-
G. Semeraro, D. Albonesi, S. Dropsho, G. Magklis, S. Dwarkadas, M. Scott, Dynamic frequency and voltage control for a multiple clock domain microarchitecture, in: The 35th International Symposium on Microarchitecture, November 2002.
-
G. Semeraro, D. Albonesi, S. Dropsho, G. Magklis, S. Dwarkadas, M. Scott, Dynamic frequency and voltage control for a multiple clock domain microarchitecture, in: The 35th International Symposium on Microarchitecture, November 2002.
-
-
-
-
40
-
-
0345272496
-
-
G. Semeraro, G. Magklis, R. Balasubramonian, D. Albonesi, S. Dwarkadas, M. Scott, Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling, in: The 8th International Symposium on High-Performance Computer Architecture, February 2002.
-
G. Semeraro, G. Magklis, R. Balasubramonian, D. Albonesi, S. Dwarkadas, M. Scott, Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling, in: The 8th International Symposium on High-Performance Computer Architecture, February 2002.
-
-
-
-
41
-
-
39749160168
-
-
J. Seng, E. Tune, D. Tullsen, Reducing power with dynamic critical path information, in: MICRO 2001, 2001.
-
J. Seng, E. Tune, D. Tullsen, Reducing power with dynamic critical path information, in: MICRO 2001, 2001.
-
-
-
-
43
-
-
0034848830
-
-
D. Shin, J. Kim, S. Lee, Low-energy intra-task voltage scheduling using static timing analysis, in: Design Automation Conference (DAC), 2001.
-
D. Shin, J. Kim, S. Lee, Low-energy intra-task voltage scheduling using static timing analysis, in: Design Automation Conference (DAC), 2001.
-
-
-
-
44
-
-
0001505938
-
A parameterized loop fusion algorithm for improving parallelism and cache locality
-
Singhai S.K., and Mckinley K.S. A parameterized loop fusion algorithm for improving parallelism and cache locality. Comput. J. 40 6 (1997)
-
(1997)
Comput. J.
, vol.40
, Issue.6
-
-
Singhai, S.K.1
Mckinley, K.S.2
-
46
-
-
0033878128
-
Probabilistic loop scheduling for applications with uncertain execution time
-
Tongsima S., Sha E.H.-M., Chantrapornchai C., Surma D., and Passos N. Probabilistic loop scheduling for applications with uncertain execution time. IEEE Trans. Comput. 49 (2000)
-
(2000)
IEEE Trans. Comput.
, vol.49
-
-
Tongsima, S.1
Sha, E.H.-M.2
Chantrapornchai, C.3
Surma, D.4
Passos, N.5
-
47
-
-
47849126837
-
-
S. Verdoolaege, M. Bruynooghe, F. Catthoor, Multi-dimensional incremental loop fusion for data locality, in: ASAP, 2003.
-
S. Verdoolaege, M. Bruynooghe, F. Catthoor, Multi-dimensional incremental loop fusion for data locality, in: ASAP, 2003.
-
-
-
-
48
-
-
0029387877
-
Resource constrained loop list scheduler for dsp algorithms
-
Wang C.-Y., and Parhi K.K. Resource constrained loop list scheduler for dsp algorithms. J. VLSI Signal Process. 11 (1995)
-
(1995)
J. VLSI Signal Process.
, vol.11
-
-
Wang, C.-Y.1
Parhi, K.K.2
-
49
-
-
30544441853
-
Energy-aware variable partitioning and instruction scheduling for multibank memory architectures
-
Wang Z., and Hu X. Energy-aware variable partitioning and instruction scheduling for multibank memory architectures. ACM Trans. Design Automat. of Electron. Systems (TODAES) 10 2 (2005)
-
(2005)
ACM Trans. Design Automat. of Electron. Systems (TODAES)
, vol.10
, Issue.2
-
-
Wang, Z.1
Hu, X.2
-
51
-
-
39749200641
-
-
M.J. Wolfe, Optimizing supercompilers for supercomputers, Ph.D. Thesis, Department of Computer Science, University of Illinois at Urbana-Champaign, October 1982.
-
M.J. Wolfe, Optimizing supercompilers for supercomputers, Ph.D. Thesis, Department of Computer Science, University of Illinois at Urbana-Champaign, October 1982.
-
-
-
-
52
-
-
0029488569
-
-
F. Yao, A. Demers, S. Shenker, A scheduling model for reduced cpu energy, in: The 36th Symposium on Foundations of Computer Science (FOCS), Milwankee, WI, October 1995.
-
F. Yao, A. Demers, S. Shenker, A scheduling model for reduced cpu energy, in: The 36th Symposium on Foundations of Computer Science (FOCS), Milwankee, WI, October 1995.
-
-
-
-
53
-
-
84948777113
-
-
Y. Yu, V.K. Prasanna, Power-aware resource allocation for independent tasks in heterogeneous real-time systems, in: ICPADS, 2002.
-
Y. Yu, V.K. Prasanna, Power-aware resource allocation for independent tasks in heterogeneous real-time systems, in: ICPADS, 2002.
-
-
-
-
54
-
-
39749172149
-
-
J. Zambreno, M. Kandemir, A. Choudhary, Enhancing compiler techniques for memory energy optimizations, in: EMSOFT, 2002.
-
J. Zambreno, M. Kandemir, A. Choudhary, Enhancing compiler techniques for memory energy optimizations, in: EMSOFT, 2002.
-
-
-
-
55
-
-
0035694661
-
-
W. Zhang, N. Vijaykrishnan, M. Kandermir, M. Irwin, D. Duarte, Y. Tsai, Exploiting vliw schedule slacks for dynamic and leakage energy reduction, in: MICRO 2001, 2001.
-
W. Zhang, N. Vijaykrishnan, M. Kandermir, M. Irwin, D. Duarte, Y. Tsai, Exploiting vliw schedule slacks for dynamic and leakage energy reduction, in: MICRO 2001, 2001.
-
-
-
-
56
-
-
0036056702
-
-
Y. Zhang, X. Hu, D.Z. Chen, Task scheduling and voltage selection for energy minimization, in: Design Automation Conference (DAC), 2002.
-
Y. Zhang, X. Hu, D.Z. Chen, Task scheduling and voltage selection for energy minimization, in: Design Automation Conference (DAC), 2002.
-
-
-
-
58
-
-
39749134586
-
-
Y. Zhu, G. Magklis, M.L. Scott, C. Ding, D.H. Albonesi, The energy impact of aggressive loop fusion, in: IEEE PACT, 2004.
-
Y. Zhu, G. Magklis, M.L. Scott, C. Ding, D.H. Albonesi, The energy impact of aggressive loop fusion, in: IEEE PACT, 2004.
-
-
-
-
59
-
-
1842429024
-
Efficient variable partitioning and scheduling for dsp processors with multiple memory modules
-
Zhuge Q., Sha E.H.-M., Xiao B., and Chantrapornchai C. Efficient variable partitioning and scheduling for dsp processors with multiple memory modules. IEEE Trans. Signal Process. 52 4 (2004)
-
(2004)
IEEE Trans. Signal Process.
, vol.52
, Issue.4
-
-
Zhuge, Q.1
Sha, E.H.-M.2
Xiao, B.3
Chantrapornchai, C.4
-
60
-
-
85008028251
-
Code size reduction technique and implementation for software-pipelined dsp applications
-
Zhuge Q., Xiao B., and Sha E.H.-M. Code size reduction technique and implementation for software-pipelined dsp applications. ACM Trans. Embedded Comput. Systems 2 4 (2003)
-
(2003)
ACM Trans. Embedded Comput. Systems
, vol.2
, Issue.4
-
-
Zhuge, Q.1
Xiao, B.2
Sha, E.H.-M.3
|