메뉴 건너뛰기




Volumn , Issue , 2013, Pages 83-88

Combining RAM technologies for hard-error recovery in L1 data caches working at very-low power modes

Author keywords

[No Author keywords available]

Indexed keywords

BUDGET CONTROL; CACHE MEMORY; ECONOMIC AND SOCIAL EFFECTS; ENERGY CONSERVATION; ERRORS;

EID: 84885663644     PISSN: 15301591     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.7873/date.2013.031     Document Type: Conference Paper
Times cited : (6)

References (23)
  • 1
    • 81255177633 scopus 로고    scopus 로고
    • IBM POWER7 multicore server processor
    • B. Sinharoy et al., "IBM POWER7 multicore server processor," IBM J. Research and Development, Vol. 55, no. 3, pp. 1-29, 2011.
    • (2011) IBM J. Research and Development , vol.55 , Issue.3 , pp. 1-29
    • Sinharoy, B.1
  • 2
    • 70450243083 scopus 로고    scopus 로고
    • Hybrid cache architecture with disparate memory technologies
    • X. Wu et al., "Hybrid Cache Architecture with Disparate Memory Technologies," in Proc. ISCA-36, 2009, pp. 34-45.
    • (2009) Proc. ISCA-36 , pp. 34-45
    • Wu, X.1
  • 3
    • 76749128041 scopus 로고    scopus 로고
    • An hybrid eDRAM/SRAM macrocell to implement first-level data caches
    • A. Valero et al., "An Hybrid eDRAM/SRAM Macrocell to Implement First-Level Data Caches," in Proc. MICRO-42, 2009, pp. 213-221.
    • (2009) Proc. MICRO-42 , pp. 213-221
    • Valero, A.1
  • 4
    • 29144526605 scopus 로고    scopus 로고
    • Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS
    • S. Mukhopadhyay et al., "Modeling of Failure Probability and Statistical Design of SRAM Array for Yield Enhancement in Nanoscaled CMOS," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 24, no. 12, pp. 1859-1880, 2005.
    • (2005) IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems , vol.24 , Issue.12 , pp. 1859-1880
    • Mukhopadhyay, S.1
  • 5
    • 80052527670 scopus 로고    scopus 로고
    • Sampling + DMR: Practical and low-overhead permanent fault detection
    • S. Nomura et al., "Sampling + DMR: Practical and Low-overhead Permanent Fault Detection," in Proc. ISCA-38, 2011, pp. 201-212.
    • (2011) Proc. ISCA-38 , pp. 201-212
    • Nomura, S.1
  • 6
    • 78649956455 scopus 로고    scopus 로고
    • Reliability-driven ECC allocation for multiple bit error resilience in processor cache
    • S. Paul et al., "Reliability-Driven ECC Allocation for Multiple Bit Error Resilience in Processor Cache," IEEE Trans. Computers, Vol. 60, no. 1, pp. 20-34, 2011.
    • (2011) IEEE Trans. Computers , vol.60 , Issue.1 , pp. 20-34
    • Paul, S.1
  • 7
    • 78650268322 scopus 로고    scopus 로고
    • Adaptive cache design to enable reliable low-voltage operation
    • A. R. Alameldeen et al., "Adaptive Cache Design to Enable Reliable Low-Voltage Operation," IEEE Trans. Comp., Vol. 60, pp. 50-63, 2011.
    • (2011) IEEE Trans. Comp. , vol.60 , pp. 50-63
    • Alameldeen, A.R.1
  • 8
    • 25144518593 scopus 로고    scopus 로고
    • Process variation in embedded memories: Failure analysis and variation aware architecture
    • A. Agarwal et al., "Process Variation in Embedded Memories: Failure Analysis and Variation Aware Architecture," IEEE J. Solid-State Circuits, Vol. 40, no. 9, pp. 1804-1814, 2005.
    • (2005) IEEE J. Solid-State Circuits , vol.40 , Issue.9 , pp. 1804-1814
    • Agarwal, A.1
  • 9
    • 52649108802 scopus 로고    scopus 로고
    • Trading off cache capacity for reliability to enable low voltage operation
    • C. Wilkerson et al., "Trading off Cache Capacity for Reliability to Enable Low Voltage Operation," in Proc. ISCA-35, 2008, pp. 203-214.
    • (2008) Proc. ISCA-35 , pp. 203-214
    • Wilkerson, C.1
  • 10
    • 66749098277 scopus 로고    scopus 로고
    • Reconfigurable energy efficient near threshold cache architectures
    • R. G. Dreslinski et al., "Reconfigurable Energy Efficient Near Threshold Cache Architectures," in Proc. MICRO-41, 2008, pp. 459-470.
    • (2008) Proc. MICRO-41 , pp. 459-470
    • Dreslinski, R.G.1
  • 11
    • 77954995377 scopus 로고    scopus 로고
    • Reducing cache power with low-cost, multi-bit error-correcting codes
    • C. Wilkerson et al., "Reducing Cache Power with Low-Cost, Multi-bit Error-Correcting Codes," in Proc. ISCA-37, 2010, pp. 83-93.
    • (2010) Proc. ISCA-37 , pp. 83-93
    • Wilkerson, C.1
  • 13
    • 36949021307 scopus 로고    scopus 로고
    • A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM
    • J. P. Kulkarni et al., "A 160 mV, Fully Differential, Robust Schmitt Trigger Based Sub-threshold SRAM," in Proc. ISLPED, 2007.
    • (2007) Proc. ISLPED
    • Kulkarni, J.P.1
  • 14
    • 33644649522 scopus 로고    scopus 로고
    • Exploiting temporal locality in drowsy cache policies
    • S. Petit et al., "Exploiting Temporal Locality in Drowsy Cache Policies," Proc. 2nd Conference Computing Frontiers, pp. 371-377, 2005.
    • (2005) Proc. 2nd Conference Computing Frontiers , pp. 371-377
    • Petit, S.1
  • 15
    • 77954979519 scopus 로고    scopus 로고
    • Rethinking refresh: Increasing availability and reducing power in DRAM for cache applications
    • P. G. Emma et al., "Rethinking Refresh: Increasing Availability and Reducing Power in DRAM for Cache Applications," IEEE Micro, Vol. 28, no. 6, pp. 47-56, 2008.
    • (2008) IEEE Micro , vol.28 , Issue.6 , pp. 47-56
    • Emma, P.G.1
  • 16
    • 0034856732 scopus 로고    scopus 로고
    • Cache decay: Exploiting generational behavior to reduce cache leakage power
    • S. Kaxiras et al., "Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power," in Proc. ISCA-28, 2001, pp. 240-251.
    • (2001) Proc. ISCA-28 , pp. 240-251
    • Kaxiras, S.1
  • 17
    • 85008048111 scopus 로고    scopus 로고
    • A 500 MHz random cycle, 1.5 ns latency, SOI embedded DRAM macro featuring a three-transistor micro sense amplifier
    • J. Barth et al., "A 500 MHz Random Cycle, 1.5 ns Latency, SOI Embedded DRAM Macro Featuring a Three-Transistor Micro Sense Amplifier," IEEE J. Solid-State Circuits, Vol. 43, no. 1, pp. 86-95, 2008.
    • (2008) IEEE J. Solid-State Circuits , vol.43 , Issue.1 , pp. 86-95
    • Barth, J.1
  • 18
    • 13844296713 scopus 로고    scopus 로고
    • Logic-based eDRAM: Origins and rationale for use
    • R. E. Matick and S. E. Schuster, "Logic-based eDRAM: Origins and rationale for use," IBM J. Research and Development, Vol. 49, no. 1, pp. 145-165, 2005.
    • (2005) IBM J. Research and Development , vol.49 , Issue.1 , pp. 145-165
    • Matick, R.E.1    Schuster, S.E.2
  • 19
    • 84885606429 scopus 로고    scopus 로고
    • http://www.uniramtech.com/embeddeddram.php.
  • 20
    • 0002986475 scopus 로고    scopus 로고
    • The SimpleScalar tool set, version 2.0
    • D. Burger and T. M. Austin, "The SimpleScalar Tool Set, Version 2.0," ACM SIGARCH Computer Arch. News, Vol. 25, no. 3, pp. 13-25, 1997.
    • (1997) ACM SIGARCH Computer Arch. News , vol.25 , Issue.3 , pp. 13-25
    • Burger, D.1    Austin, T.M.2
  • 22
    • 84885596683 scopus 로고    scopus 로고
    • http://www.spec.org/cpu2000.


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.