-
4
-
-
63549095070
-
The PARSEC benchmark suite: Characterization and architectural implications
-
Oct.
-
C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), pages 72-81, Oct. 2008.
-
(2008)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT)
, pp. 72-81
-
-
Bienia, C.1
Kumar, S.2
Singh, J.P.3
Li, K.4
-
5
-
-
84859464490
-
The gem5 simulator
-
May
-
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti, R. Sen, K. Sewell, M. Shoaib, N. Vaish, M. D. Hill, and D. A. Wood. The gem5 simulator. Computer Architecture News, 39:1-7, May 2011.
-
(2011)
Computer Architecture News
, vol.39
, pp. 1-7
-
-
Binkert, N.1
Beckmann, B.2
Black, G.3
Reinhardt, S.K.4
Saidi, A.5
Basu, A.6
Hestness, J.7
Hower, D.R.8
Krishna, T.9
Sardashti, S.10
Sen, R.11
Sewell, K.12
Shoaib, M.13
Vaish, N.14
Hill, M.D.15
Wood, D.A.16
-
7
-
-
63549151745
-
Meeting points: Using thread criticality to adapt multicore hardware to parallel regions
-
Oct.
-
Q. Cai, J. Gonzalez, R. Rakvic, G. Magklis, P. Chaparro, and A. Gonzalez. Meeting points: using thread criticality to adapt multicore hardware to parallel regions. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), pages 240-249, Oct. 2008.
-
(2008)
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT)
, pp. 240-249
-
-
Cai, Q.1
Gonzalez, J.2
Rakvic, R.3
Magklis, G.4
Chaparro, P.5
Gonzalez, A.6
-
8
-
-
70649092154
-
Rodinia: A benchmark suite for heterogeneous computing
-
Oct.
-
S. Che, M. Boyer, J. Meng, D. Tarjan, J. W. Sheaffer, S.-H. Lee, and K. Skadron. Rodinia: A benchmark suite for heterogeneous computing. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC), pages 44-54, Oct. 2009.
-
(2009)
Proceedings of the IEEE International Symposium on Workload Characterization (IISWC)
, pp. 44-54
-
-
Che, S.1
Boyer, M.2
Meng, J.3
Tarjan, D.4
Sheaffer, J.W.5
Lee, S.-H.6
Skadron, K.7
-
15
-
-
84858783255
-
Bottleneck identification and scheduling in multithreaded applications
-
Mar.
-
J. Joao, M. Suleman, O. Mutlu, and Y. Patt. Bottleneck identification and scheduling in multithreaded applications. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 223-234, Mar. 2012.
-
(2012)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 223-234
-
-
Joao, J.1
Suleman, M.2
Mutlu, O.3
Patt, Y.4
-
16
-
-
74049134932
-
Age based scheduling for asymmetric multiprocessors
-
Nov.
-
N. B. Lakshminarayana, J. Lee, and H. Kim. Age based scheduling for asymmetric multiprocessors. In Proceedings of Supercomputing: the International Conference on High Performance Computing Networking, Storage and Analysis (SC), pages 199-210, Nov. 2009.
-
(2009)
Proceedings of Supercomputing: The International Conference on High Performance Computing Networking, Storage and Analysis (SC)
, pp. 199-210
-
-
Lakshminarayana, N.B.1
Lee, J.2
Kim, H.3
-
18
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
Dec.
-
S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the International Symposium on Microarchitecture (MICRO), pages 469-480, Dec. 2009.
-
(2009)
Proceedings of the International Symposium on Microarchitecture (MICRO)
, pp. 469-480
-
-
Li, S.1
Ahn, J.H.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
23
-
-
84860352303
-
Booster: Reactive core acceleration for mitigating the effects of process variation and application imbalance in low-voltage chips
-
Feb.
-
T. Miller, X. Pan, R. Thomas, N. Sedaghati, and R. Teodorescu. Booster: Reactive core acceleration for mitigating the effects of process variation and application imbalance in low-voltage chips. In 18th International Symposium on High Performance Computer Architecture (HPCA), pages 1-12, Feb. 2012.
-
(2012)
18th International Symposium on High Performance Computer Architecture (HPCA)
, pp. 1-12
-
-
Miller, T.1
Pan, X.2
Thomas, R.3
Sedaghati, N.4
Teodorescu, R.5
-
24
-
-
33947328378
-
Performance, power efficiency and scalability of asymmetric cluster chip multiprocessors
-
Jan.
-
T. Y. Morad, U. C. Weiser, A. Kolodny, M. Valero, and A. Ayguade. Performance, power efficiency and scalability of asymmetric cluster chip multiprocessors. IEEE Computer Architecture Letters, 5(1):14-17, Jan. 2006.
-
(2006)
IEEE Computer Architecture Letters
, vol.5
, Issue.1
, pp. 14-17
-
-
Morad, T.Y.1
Weiser, U.C.2
Kolodny, A.3
Valero, M.4
Ayguade, A.5
-
27
-
-
70450230787
-
End-to-end performance forecasting: Finding bottlenecks before they happen
-
June
-
A. G. Saidi, N. L. Binkert, S. K. Reinhardt, and T. Mudge. End-to-end performance forecasting: finding bottlenecks before they happen. In Proceedings of the International Symposium on Computer Architecture (ISCA), pages 361-370, June 2009.
-
(2009)
Proceedings of the International Symposium on Computer Architecture (ISCA)
, pp. 361-370
-
-
Saidi, A.G.1
Binkert, N.L.2
Reinhardt, S.K.3
Mudge, T.4
-
28
-
-
67650033098
-
Accelerating critical section execution with asymmetric multi-core architectures
-
Mar.
-
M. A. Suleman, O. Mutlu, M. K. Qureshi, and Y. N. Patt. Accelerating critical section execution with asymmetric multi-core architectures. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 253-264, Mar. 2009.
-
(2009)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 253-264
-
-
Suleman, M.A.1
Mutlu, O.2
Qureshi, M.K.3
Patt, Y.N.4
-
30
-
-
57449122105
-
Dynamic recognition of synchronization operations for improved data race detection
-
July
-
C. Tian, V. Nagarajan, R. Gupta, and S. Tallam. Dynamic recognition of synchronization operations for improved data race detection. In Proceedings of the International Symposium on Software Testing and Analysis, pages 143-154, July 2008.
-
(2008)
Proceedings of the International Symposium on Software Testing and Analysis
, pp. 143-154
-
-
Tian, C.1
Nagarajan, V.2
Gupta, R.3
Tallam, S.4
-
31
-
-
0034817930
-
Dynamic prediction of critical path instructions
-
Jan.
-
E. Tune, D. Liang, D. Tullsen, and B. Calder. Dynamic prediction of critical path instructions. In Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA), pages 185-195, Jan. 2001.
-
(2001)
Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 185-195
-
-
Tune, E.1
Liang, D.2
Tullsen, D.3
Calder, B.4
-
32
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
June
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the International Symposium on Computer Architecture (ISCA), pages 24-36, June 1995.
-
(1995)
Proceedings of the International Symposium on Computer Architecture (ISCA)
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
|