-
2
-
-
0030382364
-
Advanced program restructuring for high-performance computers with Polaris
-
Dec.
-
W. Blume, R. Doallo, R. Eigenmann, J. Grout, J. Hoeflinger, T. Lawrence, J. Lee, D. Padua, Y. Paek, B. Pottenger, L. Rauchwerger, and P. Tu, Advanced program restructuring for high-performance computers with Polaris. IEEE Computer, 29(12):78-82, Dec. 1996.
-
(1996)
IEEE Computer
, vol.29
, Issue.12
, pp. 78-82
-
-
Blume, W.1
Doallo, R.2
Eigenmann, R.3
Grout, J.4
Hoeflinger, J.5
Lawrence, T.6
Lee, J.7
Padua, D.8
Paek, Y.9
Pottenger, B.10
Rauchwerger, L.11
Tu, P.12
-
4
-
-
0033689702
-
Architectural support for scalable speculative parallelization in shared-memory multiprocessors
-
Vancouver, Canada, June
-
M. Cintra, J. F. Martínez, and J. Torrellas. Architectural support for scalable speculative parallelization in shared-memory multiprocessors. In International Symposium on Computer Architecture, pages 13-24, Vancouver, Canada, June 2000.
-
(2000)
International Symposium on Computer Architecture
, pp. 13-24
-
-
Cintra, M.1
Martínez, J.F.2
Torrellas, J.3
-
5
-
-
0002806690
-
OpenMP: An industry-standard API for shared-memory programming
-
Jan.-Mar.
-
L. Dagum and R. Menon. OpenMP: An industry-standard API for shared-memory programming. IEEE Computational Science and Engineering, 5(1):46-55, Jan.-Mar. 1998.
-
(1998)
IEEE Computational Science and Engineering
, vol.5
, Issue.1
, pp. 46-55
-
-
Dagum, L.1
Menon, R.2
-
6
-
-
0012578496
-
Process management for highly parallel UNIX systems
-
J. Edler, J. Lipkis, and E. Schonberg. Process management for highly parallel UNIX systems. In USENIX Workshop on Unix and Supercomputers, San Francisco, CA, Sept. 1988.
-
USENIX Workshop on Unix and Supercomputers, San Francisco, CA, Sept. 1988
-
-
Edler, J.1
Lipkis, J.2
Schonberg, E.3
-
8
-
-
0001566392
-
Two techniques to enhance the performance of memory consistency models
-
St. Charles, IL, Aug.
-
K. Gharachorloo, A. Gupta, and J. Hennessy. Two techniques to enhance the performance of memory consistency models. In International Conference on Parallel Processing, pages 1355-1364, St. Charles, IL, Aug. 1991.
-
(1991)
International Conference on Parallel Processing
, pp. 1355-1364
-
-
Gharachorloo, K.1
Gupta, A.2
Hennessy, J.3
-
9
-
-
0032653015
-
Is SC+ILP=RC?
-
Atlanta, GA, May
-
C. Gniady, B. Falsafi, and T. N. Vijaykumar, Is SC+ILP=RC? In International Symposium on Computer Architecture, pages 162-171, Atlanta, GA, May 1999.
-
(1999)
International Symposium on Computer Architecture
, pp. 162-171
-
-
Gniady, C.1
Falsafi, B.2
Vijaykumar, T.N.3
-
10
-
-
0031599590
-
Speculative versioning cache
-
Las Vegas, NV, Jan.-Feb.
-
S. Gopal, T. N. Vijaykumar, J. E. Smith, and G. S. Sohi. Speculative versioning cache. In International Symposium on High-Performance Computer Architecture, pages 195-205, Las Vegas, NV, Jan.-Feb. 1998.
-
(1998)
International Symposium on High-Performance Computer Architecture
, pp. 195-205
-
-
Gopal, S.1
Vijaykumar, T.N.2
Smith, J.E.3
Sohi, G.S.4
-
12
-
-
0031605470
-
Data speculation support for a chip multiprocessor
-
San Jose, CA, Oct.
-
L. Hammond, M. Wiley, and K. Olukotun. Data speculation support for a chip multiprocessor. In International Conference on Architectural Support for Programming Languages and Operating Systems, pages 58-69, San Jose, CA, Oct. 1998.
-
(1998)
International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 58-69
-
-
Hammond, L.1
Wiley, M.2
Olukotun, K.3
-
14
-
-
0025399444
-
Apologizing versus asking permission: Optimistic concurrency control for abstract data types
-
Mar.
-
M. Herlihy. Apologizing versus asking permission: Optimistic concurrency control for abstract data types. ACM Transactions on Database Systems, 15(1): 96-124, Mar. 1990.
-
(1990)
ACM Transactions on Database Systems
, vol.15
, Issue.1
, pp. 96-124
-
-
Herlihy, M.1
-
15
-
-
0027695171
-
A methodology for implementing highly concurrent data objects
-
Nov.
-
M. Herlihy. A methodology for implementing highly concurrent data objects. ACM Transactions on Parallel Languages and Systems, 15(5):745-770, Nov. 1993.
-
(1993)
ACM Transactions on Parallel Languages and Systems
, vol.15
, Issue.5
, pp. 745-770
-
-
Herlihy, M.1
-
16
-
-
0027262011
-
Transactional memory: Architectural support for lock-free data structures
-
San Diego, CA, May
-
M. Herlihy and J. E. B. Moss. Transactional Memory: Architectural support for lock-free data structures. In International Symposium on Computer Architecture, pages 289-300, San Diego, CA, May 1993.
-
(1993)
International Symposium on Computer Architecture
, pp. 289-300
-
-
Herlihy, M.1
Moss, J.E.B.2
-
17
-
-
0031073624
-
Schedule-conscious synchronization
-
Feb.
-
L. I. Kontothanassis, R. W. Wisniewski, and M. L. Scott. Schedule-conscious synchronization. ACM Transactions on Computer Systems, 15 (1):3-40, Feb. 1997.
-
(1997)
ACM Transactions on Computer Systems
, vol.15
, Issue.1
, pp. 3-40
-
-
Kontothanassis, L.I.1
Wisniewski, R.W.2
Scott, M.L.3
-
19
-
-
0033348795
-
A chip-multiprocessor architecture with speculative multithreading
-
Sept.
-
V. Krishnan and J. Torrellas. A chip-multiprocessor architecture with speculative multithreading. IEEE Transactions on Computers, 48(9):866-880, Sept. 1999.
-
(1999)
IEEE Transactions on Computers
, vol.48
, Issue.9
, pp. 866-880
-
-
Krishnan, V.1
Torrellas, J.2
-
21
-
-
0025429467
-
The directory-based cache coherence protocol for the DASH multiprocessor
-
Seattle, WA, May
-
D. Lenoski, J. Laudon, K. Gharachorloo, A. Gupta, and J. Hennessy. The directory-based cache coherence protocol for the DASH multiprocessor. In International Symposium on Computer Architecture, pages 148-159, Seattle, WA, May 1990.
-
(1990)
International Symposium on Computer Architecture
, pp. 148-159
-
-
Lenoski, D.1
Laudon, J.2
Gharachorloo, K.3
Gupta, A.4
Hennessy, J.5
-
22
-
-
0003979521
-
-
Holt, Rinehart, and Winston, Inc., New York, NY
-
E. Lusk, R. Overbeek, et al. Portable Programs for Parallel Processors. Holt, Rinehart, and Winston, Inc., New York, NY, 1996.
-
(1996)
Portable Programs for Parallel Processors
-
-
Lusk, E.1
Overbeek, R.2
-
24
-
-
0011611820
-
Firstclass user-level threads
-
Pacific Grove, CA, Oct.
-
B. D. Marsh, M. L. Scott, T. J. LeBlanc, and E. P. Markatos. Firstclass user-level threads. In Symposium on Operating System Principles, pages 110-121, Pacific Grove, CA, Oct. 1991.
-
(1991)
Symposium on Operating System Principles
, pp. 110-121
-
-
Marsh, B.D.1
Scott, M.L.2
Leblanc, T.J.3
Markatos, E.P.4
-
26
-
-
0030261871
-
An evaluation of memory consistency models for shared-memory systems with ILP processors
-
Cambridge, MA, Oct.
-
V. S. Pai, P. Ranganathan, S. V. Adve, and T. Harton. An evaluation of memory consistency models for shared-memory systems with ILP processors. In International Conference on Architectural Support for Programming Languages and Operating Systems, pages 12-23, Cambridge, MA, Oct. 1996.
-
(1996)
International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 12-23
-
-
Pai, V.S.1
Ranganathan, P.2
Adve, S.V.3
Harton, T.4
-
27
-
-
0035694494
-
Speculative lock elision: Enabling highly concurrent multithreaded execution
-
Austin, TX, Dec.
-
R. Rajwar and J. R. Goodman. Speculative Lock Elision: Enabling highly concurrent multithreaded execution. In International Symposium on Microarchitecture, pages 294-305, Austin, TX, Dec. 2001.
-
(2001)
International Symposium on Microarchitecture
, pp. 294-305
-
-
Rajwar, R.1
Goodman, J.R.2
-
29
-
-
0000151487
-
Effective fine-grain synchronization for automatically parallelized programs using optimistic synchronization
-
Nov.
-
M. C. Rinard. Effective fine-grain synchronization for automatically parallelized programs using optimistic synchronization. ACM Transactions on Computer Systems, 17(4):337-371, Nov. 1999.
-
(1999)
ACM Transactions on Computer Systems
, vol.17
, Issue.4
, pp. 337-371
-
-
Rinard, M.C.1
-
30
-
-
0033905337
-
A mechanism for speculative memory accesses following synchronizing operations
-
Cancun, Mexico, May
-
T. Sato, K. Ohno, and H. Nakashima. A mechanism for speculative memory accesses following synchronizing operations. In International Parallel and Distributed Processing Symposium, pages 145-154, Cancun, Mexico, May 2000.
-
(2000)
International Parallel and Distributed Processing Symposium
, pp. 145-154
-
-
Sato, T.1
Ohno, K.2
Nakashima, H.3
-
31
-
-
0033703889
-
A scalable approach to thread-level speculation
-
Vancouver, Canada, June
-
J. G. Steffan, C. B. Colohan, A. Zhai, and T. C. Mowry. A scalable approach to thread-level speculation. In International Symposium on Computer Architecture, pages 1-12, Vancouver, Canada, June 2000.
-
(2000)
International Symposium on Computer Architecture
, pp. 1-12
-
-
Steffan, J.G.1
Colohan, C.B.2
Zhai, A.3
Mowry, T.C.4
-
32
-
-
0027702976
-
Multiple reservations and the Oklahoma update
-
Nov.
-
J. M. Stone, H. S. Stone, P. Heidelberg and J. Turek. Multiple reservations and the Oklahoma Update. IEEE Parallel and Distributed Technology, 1(4):58-71, Nov. 1993.
-
(1993)
IEEE Parallel and Distributed Technology
, vol.1
, Issue.4
, pp. 58-71
-
-
Stone, J.M.1
Stone, H.S.2
Heidelberg, P.3
Turek, J.4
-
33
-
-
0004328283
-
-
D. L. Weaver and T. Germond, editors; PTR Prentice Hall
-
D. L. Weaver and T. Germond, editors. The SPARC Architecture Manual. PTR Prentice Hall, 1994.
-
(1994)
The SPARC Architecture Manual
-
-
-
34
-
-
0029194459
-
The SPLASH-2 programs: Characterization and methodological considerations
-
Santa Margherita Ligure, Italy, June
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The SPLASH-2 programs: Characterization and methodological considerations. In International Symposium on Computer Architecture, pages 24-36, Santa Margherita Ligure, Italy, June 1995.
-
(1995)
International Symposium on Computer Architecture
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
35
-
-
0030129806
-
The MIPS R10000 superscalar microprocessor
-
Apr.
-
K. C. Yeager. The MIPS R10000 superscalar microprocessor. IEEE Micro. 6(2):28-40, Apr. 1996.
-
(1996)
IEEE Micro.
, vol.6
, Issue.2
, pp. 28-40
-
-
Yeager, K.C.1
|