-
1
-
-
47349112480
-
Scavenger: A new last level cache architecture with global block priority
-
A. Basu, N. Kirman, M. Kirman, M. Chaudhuri, and J. Martinez. Scavenger: A new last level cache architecture with global block priority. In MICRO'07.
-
MICRO
, pp. 07
-
-
Basu, A.1
Kirman, N.2
Kirman, M.3
Chaudhuri, M.4
Martinez, J.5
-
2
-
-
0003003638
-
A study of replacement algorithms for a virtual-storage computer
-
L. A. Belady. A study of replacement algorithms for a virtual-storage computer. IBM Systems Journal, 1966.
-
(1966)
IBM Systems Journal
-
-
Belady, L.A.1
-
3
-
-
84876515767
-
SCORE: A score-based memory cache replacement policy
-
N. Duong, R. Cammarota, D. Zhao, T. Kim, and A. Vendenbaum. SCORE: A score-based memory cache replacement policy. In JWAC'10.
-
JWAC
, pp. 10
-
-
Duong, N.1
Cammarota, R.2
Zhao, D.3
Kim, T.4
Vendenbaum, A.5
-
5
-
-
84983232283
-
A dueling segmented LRU replacement algorithm with adaptive bypassing
-
H. Gao and C. Wilkerson. A dueling segmented LRU replacement algorithm with adaptive bypassing. In JWAC'10.
-
JWAC
, pp. 10
-
-
Gao, H.1
Wilkerson, C.2
-
6
-
-
84876577037
-
Bypass and insertion algorithms for exclusive last-level caches
-
J. Gaur, M. Chaudhuri, and S. Subramoney. Bypass and insertion algorithms for exclusive last-level caches. In ISCA'11.
-
ISCA
, pp. 11
-
-
Gaur, J.1
Chaudhuri, M.2
Subramoney, S.3
-
7
-
-
34548023007
-
SARC: Sequential prefetching in adaptive replacement cache
-
B. S. Gill and D. S. Modha. SARC: sequential prefetching in adaptive replacement cache. In ATEC'05.
-
ATEC
, pp. 05
-
-
Gill, B.S.1
Modha, D.S.2
-
8
-
-
85019203100
-
Comparing cache architectures and coherency protocols on x86-64 multicore SMP systems
-
D. Hackenberg, D. Molka, and W. E. Nagel. Comparing cache architectures and coherency protocols on x86-64 multicore SMP systems. In MICRO'09.
-
MICRO
, pp. 09
-
-
Hackenberg, D.1
Molka, D.2
Nagel, W.E.3
-
9
-
-
84876536836
-
MadCache: A PC-aware cache insertion policy
-
M. Hayenga, A. Nere, and M. Lipasti. MadCache: A PC-aware cache insertion policy. In JWAC'10.
-
JWAC
, pp. 10
-
-
Hayenga, M.1
Nere, A.2
Lipasti, M.3
-
10
-
-
79951719036
-
Achieving non-inclusive cache performance with inclusive caches: Temporal locality aware (TLA) cache management policies
-
A. Jaleel, E. Borch, M. Bhandaru, S. C. Steely Jr., and J. Emer. Achieving non-inclusive cache performance with inclusive caches: Temporal locality aware (TLA) cache management policies. In MICRO'10.
-
MICRO
, pp. 10
-
-
Jaleel, A.1
Borch, E.2
Bhandaru, M.3
Steely Jr., S.C.4
Emer, J.5
-
11
-
-
84876587503
-
CMPim: A pinbased on-The-fly multi-core cache simulator
-
A. Jaleel, R. S. Cohn, C. keung Luk, and B. Jacob. CMPim: A pinbased on-the-fly multi-core cache simulator. In MoBS'08.
-
MoBS
, pp. 08
-
-
Jaleel, A.1
Cohn, R.S.2
Keung Luk, C.3
Jacob, B.4
-
12
-
-
84871670635
-
Adaptive insertion policies for managing shared caches
-
A. Jaleel, W. Hasenplaugh, M. Qureshi, J. Sebot, S. Steely, Jr., and J. Emer. Adaptive insertion policies for managing shared caches. In PACT'08.
-
PACT
, pp. 08
-
-
Jaleel, A.1
Hasenplaugh, W.2
Qureshi, M.3
Sebot, J.4
Steely Jr., S.5
Emer, J.6
-
13
-
-
84876512422
-
CRUISE: Cache replacement and utility-aware scheduling
-
A. Jaleel, H. H. Najaf-abadi, S. Subramaniam, S. C. Steely, and J. Emer. CRUISE: cache replacement and utility-aware scheduling. In ASPLOS'12.
-
ASPLOS
, pp. 12
-
-
Jaleel, A.1
Najaf-Abadi, H.H.2
Subramaniam, S.3
Steely, S.C.4
Emer, J.5
-
14
-
-
84863083468
-
High performance cache replacement using re-reference interval prediction (RRIP)
-
A. Jaleel, K. B. Theobald, S. C. Steely, Jr., and J. Emer. High performance cache replacement using re-reference interval prediction (RRIP). In ISCA'10.
-
ISCA
, pp. 10
-
-
Jaleel, A.1
Theobald, K.B.2
Steely Jr., S.C.3
Emer, J.4
-
15
-
-
1942539631
-
LIRS: An efficient low inter-reference recency set replacement policy to improve buffer cache performance
-
S. Jiang and X. Zhang. LIRS: an efficient low inter-reference recency set replacement policy to improve buffer cache performance. In SIGMETRICS' 02.
-
SIGMETRICS
, pp. 02
-
-
Jiang, S.1
Zhang, X.2
-
17
-
-
84876565494
-
Cache replacement based on reuse-distance prediction
-
G. Keramidas, P. Petoumenos, and S. Kaxiras. Cache replacement based on reuse-distance prediction. In ICCD'07.
-
ICCD
, pp. 07
-
-
Keramidas, G.1
Petoumenos, P.2
Kaxiras, S.3
-
18
-
-
79951697650
-
Sampling dead block prediction for last-level caches
-
S. M. Khan, Y. Tian, and D. A. Jimenez. Sampling dead block prediction for last-level caches. In MICRO'10.
-
MICRO
, pp. 10
-
-
Khan, S.M.1
Tian, Y.2
Jimenez, D.A.3
-
20
-
-
66749155879
-
Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency
-
H. Liu, M. Ferdman, J. Huh, and D. Burger. Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency. In MICRO'08.
-
MICRO
, pp. 08
-
-
Liu, H.1
Ferdman, M.2
Huh, J.3
Burger, D.4
-
21
-
-
84876536060
-
NUCache: An efficient multicore cache organization based on next-use distance
-
R. Manikantan, K. Rajan, and R. Govindarajan. NUCache: An efficient multicore cache organization based on next-use distance. In HPCA'11.
-
HPCA
, pp. 11
-
-
Manikantan, R.1
Rajan, K.2
Govindarajan, R.3
-
22
-
-
71949083812
-
Instruction-based reusedistance prediction for effective cache management
-
P. Petoumenos, G. Keramidas, and S. Kaxiras. Instruction-based reusedistance prediction for effective cache management. In SAMOS'09.
-
SAMOS
, pp. 09
-
-
Petoumenos, P.1
Keramidas, G.2
Kaxiras, S.3
-
23
-
-
84967068696
-
An inter-reference gap model for temporal locality in program behavior
-
V. Phalke and B. Gopinath. An inter-reference gap model for temporal locality in program behavior. In SIGMETRICS'95.
-
SIGMETRICS
, pp. 95
-
-
Phalke, V.1
Gopinath, B.2
-
24
-
-
84876526870
-
Adaptive insertion policies for high performance caching
-
M. K. Qureshi, A. Jaleel, Y. N. Patt, S. C. Steely, and J. Emer. Adaptive insertion policies for high performance caching. In ISCA'07.
-
ISCA
, pp. 07
-
-
Qureshi, M.K.1
Jaleel, A.2
Patt, Y.N.3
Steely, S.C.4
Emer, J.5
-
25
-
-
34548042910
-
Utility-based cache partitioning: A lowoverhead, high-performance, runtime mechanism to partition shared caches
-
M. K. Qureshi and Y. N. Patt. Utility-based cache partitioning: A lowoverhead, high-performance, runtime mechanism to partition shared caches. In MICRO'06.
-
MICRO
, pp. 06
-
-
Qureshi, M.K.1
Patt, Y.N.2
-
26
-
-
84876547905
-
Emulating optimal replacement with a shepherd cache
-
K. Rajan and G. Ramaswamy. Emulating optimal replacement with a shepherd cache. In MICRO'07.
-
MICRO
, pp. 07
-
-
Rajan, K.1
Ramaswamy, G.2
-
27
-
-
85018370607
-
Vantage: Scalable and efficient finegrain cache partitioning
-
D. Sanchez and C. Kozyrakis. Vantage: scalable and efficient finegrain cache partitioning. In ISCA'11.
-
ISCA
, pp. 11
-
-
Sanchez, D.1
Kozyrakis, C.2
-
28
-
-
84876536796
-
Accelerating multicore reuse distance analysis with sampling and parallelization
-
D. L. Schuff, M. Kulkarni, and V. S. Pai. Accelerating multicore reuse distance analysis with sampling and parallelization. In PACT'10.
-
PACT
, pp. 10
-
-
Schuff, D.L.1
Kulkarni, M.2
Pai, V.S.3
-
29
-
-
0001778077
-
EELRU: Simple and effective adaptive page replacement
-
Y. Smaragdakis, S. Kaplan, and P. Wilson. EELRU: simple and effective adaptive page replacement. In SIGMETRICS'99.
-
SIGMETRICS
, pp. 99
-
-
Smaragdakis, Y.1
Kaplan, S.2
Wilson, P.3
-
30
-
-
84876557179
-
SHARP control: Controlled shared cache management in chip multiprocessors
-
S. Srikantaiah, M. Kandemir, and Q. Wang. SHARP control: controlled shared cache management in chip multiprocessors. In MICRO' 09.
-
MICRO
, pp. 09
-
-
Srikantaiah, S.1
Kandemir, M.2
Wang, Q.3
-
31
-
-
84876529751
-
Inter-reference gap distribution replacement: An improved replacement algorithm for set-associative caches
-
M. Takagi and K. Hiraki. Inter-reference gap distribution replacement: an improved replacement algorithm for set-associative caches. In ICS'04.
-
ICS
, pp. 04
-
-
Takagi, M.1
Hiraki, K.2
-
34
-
-
84876524079
-
SHiP: Signature-based hit predictor for high performance caching
-
C.-J. Wu, A. Jaleel, W. Hasenplaugh, M. Martonosi, S. Steely, and J. Emer. SHiP: Signature-based hit predictor for high performance caching. In MICRO'11.
-
MICRO
, pp. 11
-
-
Wu, C.-J.1
Jaleel, A.2
Hasenplaugh, W.3
Martonosi, M.4
Steely, S.5
Emer, J.6
-
35
-
-
84876546545
-
PACMan: Prefetch-aware cache management for high performance caching
-
C.-J. Wu, A. Jaleel, M. Martonosi, S. C. Steely, Jr., and J. Emer. PACMan: prefetch-aware cache management for high performance caching. In MICRO'11.
-
MICRO
, pp. 11
-
-
Wu, C.-J.1
Jaleel, A.2
Martonosi, M.3
Steely Jr., S.C.4
Emer, J.5
-
36
-
-
84876521498
-
PIPP: Promotion/insertion pseudo-partitioning of multi-core shared caches
-
Y. Xie and G. H. Loh. PIPP: promotion/insertion pseudo-partitioning of multi-core shared caches. In ISCA'09.
-
ISCA
, pp. 09
-
-
Xie, Y.1
Loh, G.H.2
|