-
1
-
-
77954986440
-
Energy-performance tradeoffs in processor architecture and circuit design: A marginal cost analysis
-
Azizi, O., Mahesri, A., Lee, B.C., Patel, S.J., Horowitz, M. Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis. In ISCA (2010).
-
(2010)
ISCA
-
-
Azizi, O.1
Mahesri, A.2
Lee, B.C.3
Patel, S.J.4
Horowitz, M.5
-
2
-
-
49249108501
-
Wake up and smell the coffee: Evaluation methodologies for the 21st century
-
Blackburn, S.M. et al. Wake up and smell the coffee: Evaluation methodologies for the 21st century. CACM 51, 8 (2008), 83-89.
-
(2008)
CACM
, vol.51
, Issue.8
, pp. 83-89
-
-
Blackburn, S.M.1
-
3
-
-
44949253519
-
A 30 year retrospective on Dennard's MOSFET scaling paper
-
Bohr, M. A 30 year retrospective on Dennard's MOSFET scaling paper. IEEE SSCS Newsletter 12, 1 (2007), 11-13 (http://dx.doi.org/10.1109/N-SSC.2007. 4785534).
-
(2007)
IEEE SSCS Newsletter
, vol.12
, Issue.1
, pp. 11-13
-
-
Bohr, M.1
-
4
-
-
77957942221
-
RAPL: Memory power estimation and capping
-
David, H., Gorbatov, E., Hanebutte, U.R., Khanna, R., Le, C. RAPL: memory power estimation and capping. In ISLPED (2010).
-
(2010)
ISLPED
-
-
David, H.1
Gorbatov, E.2
Hanebutte, U.R.3
Khanna, R.4
Le, C.5
-
5
-
-
0021138262
-
A characterization of processor performance in the VAX - 11/780
-
Emer, J.S., Clark, D.W. A characterization of processor performance in the VAX - 11/780. In ISCA (1984).
-
(1984)
ISCA
-
-
Emer, J.S.1
Clark, D.W.2
-
6
-
-
80052528714
-
Dark silicon and the end of multicore scaling
-
Esmaeilzadeh, H., Blem, E., St. Amant, R., Sankaralingam, K., Burger, D. Dark silicon and the end of multicore scaling. In ISCA (2011).
-
(2011)
ISCA
-
-
Esmaeilzadeh, H.1
Blem, E.2
St. Amant, R.3
Sankaralingam, K.4
Burger, D.5
-
7
-
-
35348835964
-
Power provisioning for a warehouse-sized computer
-
Fan, X., Weber, W.D., Barroso, L.A. Power provisioning for a warehouse-sized computer. In ISCA (2007).
-
(2007)
ISCA
-
-
Fan, X.1
Weber, W.D.2
Barroso, L.A.3
-
8
-
-
79961040286
-
Toward dark silicon in servers
-
Hardavellas, N., Ferdman, M., Falsafi, B., Ailamaki, A. Toward dark silicon in servers. IEEE Micro 31, 4 (2011), 6-15.
-
(2011)
IEEE Micro
, vol.31
, Issue.4
, pp. 6-15
-
-
Hardavellas, N.1
Ferdman, M.2
Falsafi, B.3
Ailamaki, A.4
-
9
-
-
0036287089
-
The optimal logic depth per pipeline stage is 6 to 8 FO4 inverter delays
-
Hrishikesh, M.S., Burger, D., Jouppi, N.P., Keckler, S.W., Farkas, K.I., Shivakumar, P. The optimal logic depth per pipeline stage is 6 to 8 FO4 inverter delays. In International Symposium on Computer Architecture (2002).
-
(2002)
International Symposium on Computer Architecture
-
-
Hrishikesh, M.S.1
Burger, D.2
Jouppi, N.P.3
Keckler, S.W.4
Farkas, K.I.5
Shivakumar, P.6
-
10
-
-
84944414165
-
Runtime power monitoring in high-end processors: Methodology and empirical data
-
Isci, C., Martonosi, M. Runtime power monitoring in high-end processors: Methodology and empirical data. In MICRO (2003).
-
(2003)
MICRO
-
-
Isci, C.1
Martonosi, M.2
-
12
-
-
85082385771
-
Dynamic voltage and frequency scaling: The laws of diminishing returns
-
Le Sueur, E., Heiser, G. Dynamic voltage and frequency scaling: the laws of diminishing returns. In HotPower (2010).
-
(2010)
HotPower
-
-
Le Sueur, E.1
Heiser, G.2
-
13
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
Li, S., Ahn, J.H., Strong, R.D., Brockman, J.B., Tullsen, D.M., Jouppi, N.P. McPAT : an integrated power, area, and timing modeling framework for multicore and manycore architectures. In MICRO (2009).
-
(2009)
MICRO
-
-
Li, S.1
Ahn, J.H.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
14
-
-
33748857902
-
CMP design space exploration subject to physical contraints
-
Li, Y., Lee, B., Brooks, D., Hu, Z., Skadron, K. CMP design space exploration subject to physical contraints. In HPCA (2006).
-
(2006)
HPCA
-
-
Li, Y.1
Lee, B.2
Brooks, D.3
Hu, Z.4
Skadron, K.5
-
15
-
-
0000793139
-
Cramming more components onto integrated circuits
-
19 Apr
-
Moore, G.E. Cramming more components onto integrated circuits. Electronics 38, 8 (19 Apr 1965), 114-117.
-
(1965)
Electronics
, vol.38
, Issue.8
, pp. 114-117
-
-
Moore, G.E.1
-
16
-
-
0035311079
-
Power: A first-class architectural design constraint
-
Apr.
-
Mudge, T. Power: a first-class architectural design constraint. Computer 34, 4 (Apr. 2001), 52-58.
-
(2001)
Computer
, vol.34
, Issue.4
, pp. 52-58
-
-
Mudge, T.1
-
17
-
-
8344233355
-
The energy efficiency of CMP vs. SMT for multimedia workloads
-
Sasanka, R., Adve, S.V., Chen, Y.K., Debes, E. The energy efficiency of CMP vs. SMT for multimedia workloads. In ICS (2004).
-
(2004)
ICS
-
-
Sasanka, R.1
Adve, S.V.2
Chen, Y.K.3
Debes, E.4
-
18
-
-
79951698438
-
Inside Intel next generation Nehalem microarchitecture
-
August
-
Singhal, R. Inside Intel next generation Nehalem microarchitecture. Intel Developer Forum (IDF) presentation (August 2008), 2011.
-
(2008)
Intel Developer Forum (IDF) Presentation
-
-
Singhal, R.1
-
19
-
-
0029200683
-
Simultaneous multithreading: Maximizing on-chip parallelism
-
Tullsen, D.M., Eggers, S.J., Levy, H.M. Simultaneous multithreading: maximizing on-chip parallelism. In ISCA (1995).
-
(1995)
ISCA
-
-
Tullsen, D.M.1
Eggers, S.J.2
Levy, H.M.3
|