-
1
-
-
84859041803
-
-
Qualcomm Inc [Online]
-
Qualcomm, Inc. (2004). Snapdragon Dual Core CPU Processor [Online]. Available: http://www.Qualcomm.Com/Snapdragon
-
(2004)
Snapdragon Dual Core CPU Processor
-
-
-
2
-
-
84859010873
-
-
Anand Tech. (2011, Mar. 19) [Online]
-
AnandTech. (2011, Mar. 19). The Apple iPad2 Review [Online]. Available: http://www.anandtech.com/show/4225/the-ipad-2-review/4
-
The Apple iPad2 Review
-
-
-
5
-
-
63349111649
-
Extending open core protocol to support system-level cache coherence
-
K. Aisopos, C. Chou, and L. Peh, "Extending open core protocol to support system-level cache coherence," in Proc. CODES+ISSS, 2008, pp. 167-172.
-
(2008)
Proc. CODES+ISSS
, pp. 167-172
-
-
Aisopos, K.1
Chou, C.2
Peh, L.3
-
7
-
-
0035693947
-
Reducing set-associative cache energy via way-prediction and selective direct-mapping
-
M. D. Powell, A. Agarwal, T. N. Vijaykumar, M. Falsafi, and K. Roy, "Reducing set-associative cache energy via way-prediction and selective direct-mapping," in Proc. Int. Symp. Microarchitecture, 2001, pp. 54-65. (Pubitemid 34086854)
-
(2001)
Proceedings of the Annual International Symposium on Microarchitecture
, pp. 54-65
-
-
Powell, M.D.1
Agarwal, A.2
Vijaykumar, T.N.3
Falsafi, B.4
Roy, K.5
-
8
-
-
0036504804
-
Access-mode predictions for low-power cache design
-
DOI 10.1109/MM.2002.997880
-
Z. Zhu and X. Zhang, "Access-mode predictions for low-power cache design," IEEE Micro, vol. 22, no. 2, pp. 58-71, Mar.-Apr. 2002. (Pubitemid 34434064)
-
(2002)
IEEE Micro
, vol.22
, Issue.2
, pp. 58-71
-
-
Zhu, Z.1
Zhang, X.2
-
9
-
-
70450078844
-
Way-tagged cache: An energy-efficient L2 cache architecture under write-through policy
-
J. Dai and L. Wang, "Way-tagged cache: An energy-efficient L2 cache architecture under write-through policy," in Proc. ISLPED, 2009, pp. 159-164.
-
(2009)
Proc. ISLPED
, pp. 159-164
-
-
Dai, J.1
Wang, L.2
-
10
-
-
16244363688
-
A way-halting cache for low-energy high-performance systems
-
4.3, Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
-
C. Zhang, F. Vahid, J. Yang, and W. Najjar, "A way-halting cache for low-energy high-performance systems," in Proc. ISLPED, 2004, pp. 126-131. (Pubitemid 40454697)
-
(2004)
Proceedings of the 2004 International Symposium on Lower Power Electronics and Design, ISLPED'04
, pp. 126-131
-
-
Zhang, C.1
Vahid, F.2
Yang, J.3
Najjar, W.4
-
11
-
-
0036375949
-
Bloom filtering cache misses for accurate data speculation and prefetching
-
J.-K. Peir, S.-C. Lai, S.-L. Lu, J. Stark, and K. Lai, "Bloom filtering cache misses for accurate data speculation and prefetching," in Proc. Supercomputing, 2002, pp. 189-198. (Pubitemid 35039998)
-
(2002)
Proceedings of the International Conference on Supercomputing
, pp. 189-198
-
-
Peir, J.-K.1
Lai, S.-C.2
Lu, S.-L.3
Stark, J.4
Lai, K.5
-
12
-
-
70449723375
-
Way guard: A segmented counting bloom filter approach to reducing energy for setassociative caches
-
M. Ghosh, E. Özer, S. Ford, S. Biles, and H.-H. S. Lee, "Way guard: A segmented counting bloom filter approach to reducing energy for setassociative caches," in Proc. ISLPED, 2009, pp. 165-170.
-
(2009)
Proc. ISLPED
, pp. 165-170
-
-
Ghosh, M.1
Özer, E.2
Ford, S.3
Biles, S.4
Lee, H.-H.S.5
-
13
-
-
38149122140
-
Applying decay to reduce dynamic power in set-associative caches
-
G. Keramidas, P. Xekalakis, and S. Kaxiras, "Applying decay to reduce dynamic power in set-associative caches," in Proc. Int. Conf. High-Performance Embedded Architectures Compilers, 2007, pp. 38-53.
-
(2007)
Proc. Int. Conf. High-Performance Embedded Architectures Compilers
, pp. 38-53
-
-
Keramidas, G.1
Xekalakis, P.2
Kaxiras, S.3
-
14
-
-
0014814325
-
Space/time trade-offs in hash coding with allowable errors
-
B. Bloom, "Space/time trade-offs in hash coding with allowable errors," Commun. ACM, vol. 13, no. 7, pp. 422-426, 1970.
-
(1970)
Commun. ACM
, vol.13
, Issue.7
, pp. 422-426
-
-
Bloom, B.1
-
15
-
-
84859022839
-
-
OpenSPARCTM T2 System-on-Chip (SoC) Sun Microsystems, Inc May [Online]
-
OpenSPARCTM T2 System-on-Chip (SoC) Microarchitecture Specification, no. 820-2620-10, Sun Microsystems, Inc., May 2008 [Online]. Available: http://www.opensparc.net/pubs/t2/docs/Open SPARCT2 SoC Micro Arch Vol1.pdf
-
(2008)
Microarchitecture Specification No. 820-2620-10
-
-
-
18
-
-
0033672408
-
Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories
-
M. Powell, S.-H. Yang, B. Falsafi, K. Roy, and T. N. Vijaykumar, "Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories," in Proc. ISLPED, 2000, pp. 90-95.
-
(2000)
Proc. ISLPED
, pp. 90-95
-
-
Powell, M.1
Yang, S.-H.2
Falsafi, B.3
Roy, K.4
Vijaykumar, T.N.5
-
19
-
-
0034856732
-
Cache decay: Exploiting generational behavior to reduce cache leakage power
-
S. Kaxiras, Z. Hu, and M. Martonosi, "Cache decay: Exploiting generational behavior to reduce cache leakage power," in Proc. ISCA, 2001, pp. 240-251. (Pubitemid 32825409)
-
(2001)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 240-251
-
-
Kaxiras, S.1
Hu, Z.2
Martonosi, M.3
-
20
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge, "Drowsy caches: Simple techniques for reducing leakage power," in Proc. ISCA, 2002, pp. 148-157. (Pubitemid 34691858)
-
(2002)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 148-157
-
-
Flautner, K.1
Kim, N.S.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
21
-
-
0036290538
-
Timekeeping in the memory system: Predicting and optimizing memory behaviour
-
Z. Hu, S. Kaxiras, and M. Martonosi, "Timekeeping in the memory system: Predicting and optimizing memory behavior," in Proc. ISCA, 2002, pp. 209-220. (Pubitemid 34691863)
-
(2002)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 209-220
-
-
Hu, Z.1
Kaxiras, S.2
Martonosi, M.3
-
22
-
-
52649124415
-
Counting dependence predictors
-
F. Roesner, D. Burger, and S. W. Keckler, "Counting dependence predictors," in Proc. ISCA, 2008, pp. 215-226.
-
(2008)
Proc. ISCA
, pp. 215-226
-
-
Roesner, F.1
Burger, D.2
Keckler, S.W.3
-
23
-
-
0034851536
-
Dead-block prediction & dead-block correlating prefetchers
-
A.-C. Lai, C. Fide, and B. Falsafi, "Dead-block prediction and deadblock correlating prefetchers," in Proc. ISCA, 2001, pp. 144-154. (Pubitemid 32825402)
-
(2001)
Conference Proceedings - Annual International Symposium on Computer Architecture, ISCA
, pp. 144-154
-
-
Lai, A.-C.1
Fide, C.2
Falsafi, B.3
-
24
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi, "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures," in Proc. Int. Symp. Microarchitecture, 2009, pp. 469-480.
-
(2009)
Proc. Int. Symp. Microarchitecture
, pp. 469-480
-
-
Li, S.1
Ahn, J.H.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
25
-
-
31944440969
-
Pin: Building customized program analysis tools with dynamic instrumentation
-
Jun.
-
C.-K. Luk, R. Cohn, R. Muth, H. Patil, A. Klauser, and G. Lowney, "Pin: Building customized program analysis tools with dynamic instrumentation," in Proc. PLDI, Jun. 2005, pp. 190-200.
-
(2005)
Proc. PLDI
, pp. 190-200
-
-
Luk, C.-K.1
Cohn, R.2
Muth, R.3
Patil, H.4
Klauser, A.5
Lowney, G.6
-
26
-
-
35348861182
-
DRAMsim: A memory system simulator
-
Sep.
-
D. Wang, B. Ganesh, N. Tuaycharoen, K. Baynes, A. Jaleel, and B. Jacob, "DRAMsim: A memory system simulator," ACM SIGARCH Comput. Architecture News, vol. 33, no. 4, pp. 100-107, Sep. 2005.
-
(2005)
ACM SIGARCH Comput. Architecture News
, vol.33
, Issue.4
, pp. 100-107
-
-
Wang, D.1
Ganesh, B.2
Tuaycharoen, N.3
Baynes, K.4
Jaleel, A.5
Jacob, B.6
-
27
-
-
81255133634
-
-
HP Laboratories [Online]
-
HP Laboratories. (2009). CACTI 6.5 [Online]. Available: http://www. hpl.hp.com/research/cacti
-
(2009)
CACTI 6.5
-
-
-
29
-
-
77954989143
-
Rethinking DRAM design and organization for energy-constrained multicores
-
A. N. Udipi, N. Muralimanohar, and N. Chatterjee, "Rethinking DRAM design and organization for energy-constrained multicores," in Proc. ISCA, 2010, pp. 175-186.
-
(2010)
Proc. ISCA
, pp. 175-186
-
-
Udipi, A.N.1
Muralimanohar, N.2
Chatterjee, N.3
|