-
2
-
-
84888331734
-
-
The Standard Performance Evaluation Corporation (SPEC). The SPEC Benchmark Suite. http://www.spec.org.
-
The SPEC Benchmark Suite
-
-
-
4
-
-
0003590419
-
-
Technical report, Ph.D. Thesis, Computer Sciences Department, University of Wisconsin, Madison, December
-
A. I. Moshovos. Memory Dependence Prediction. Technical report, Ph.D. Thesis, Computer Sciences Department, University of Wisconsin, Madison, December 1998.
-
(1998)
Memory Dependence Prediction
-
-
Moshovos, A.I.1
-
7
-
-
40349095135
-
Dataflow predication
-
A. Smith, R. Nagarajan, K. Sankaralingam, R. McDonald,D. Burger, S. W. Keckler and K. S. McKinley. Dataflow predication. Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, pages 89-102, 2006.
-
(2006)
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 89-102
-
-
Smith, A.1
Nagarajan, R.2
Sankaralingam, K.3
McDonald, R.4
Burger, D.5
Keckler, S.W.6
McKinley, K.S.7
-
9
-
-
0032316241
-
Understanding the differences between value prediction and instruction reuse
-
A. Sodani and G. Sohi. Understanding the differences between value prediction and instruction reuse. MICRO-31, pages 205-215, 1998.
-
(1998)
MICRO-31
, pp. 205-215
-
-
Sodani, A.1
Sohi, G.2
-
10
-
-
0012527549
-
A dynamic multithreading processor
-
November
-
H. Akkary and M. A. Driscoll. A dynamic multithreading processor. MICRO-31, November 1998.
-
(1998)
MICRO-31
-
-
Akkary, H.1
Driscoll, M.A.2
-
11
-
-
0036523327
-
Reducing memory latency via read-after-read memory dependence prediction
-
March
-
A.s Moshovos and G. S. Sohi . Reducing memory latency via read-after-read memory dependence prediction. IEEE Transactions on Computers, 51(3):313-326, March 2002.
-
(2002)
IEEE Transactions on Computers
, vol.51
, Issue.3
, pp. 313-326
-
-
Moshovos, A.S.1
Sohi, G.S.2
-
14
-
-
42149143825
-
-
Technical report, Technical Report SABLETR-2004-6, Sable Research Group, School of Computer Science, McGill University
-
C. J. F. Pickett and C. Verbrugge. Compiler Analyses for Improved Return Value Prediction. Technical report, Technical Report SABLETR-2004-6, Sable Research Group, School of Computer Science, McGill University, 2004.
-
(2004)
Compiler Analyses for Improved Return Value Prediction
-
-
Pickett, C.J.F.1
Verbrugge, C.2
-
25
-
-
78149239703
-
On the potential of latency tolerant execution in speculative multithreading
-
November
-
H. Akkary, K. Jothi, R. Retnamma, S. Nekkalapu, D. Hall and S. Shahidzadeh. On the potential of latency tolerant execution in speculative multithreading. IFMT, November 2008.
-
(2008)
IFMT
-
-
Akkary, H.1
Jothi, K.2
Retnamma, R.3
Nekkalapu, S.4
Hall, D.5
Shahidzadeh, S.6
-
26
-
-
1142268815
-
Recycling waste: Exploiting wrong-path execution to improve branch prediction
-
H. Akkary, S. T. Srinivasan and K. Lai. Recycling waste: exploiting wrong-path execution to improve branch prediction. In ICS-17, pages 12-21, 2003.
-
(2003)
ICS-17
, pp. 12-21
-
-
Akkary, H.1
Srinivasan, S.T.2
Lai, K.3
-
27
-
-
0029531029
-
The microarchitecture of superscalar processors
-
August
-
J. E. Smith and G. S. Sohi. The microarchitecture of superscalar processors. Proceedings of the IEEE, 83(12):1609-1624, August 1995.
-
(1995)
Proceedings of the IEEE
, vol.83
, Issue.12
, pp. 1609-1624
-
-
Smith, J.E.1
Sohi, G.S.2
-
29
-
-
33750085320
-
Return Value Prediction meets Information Theory
-
DOI 10.1016/j.entcs.2006.07.016, PII S1571066106004956
-
J. Singer and G. Brown. Return value prediction meets information theory. In QAPL'06: Proceedings of the 4th International Workshop on Quantitative Aspects of Programming Languages, 164:137-151, October 2006. (Pubitemid 44584826)
-
(2006)
Electronic Notes in Theoretical Computer Science
, vol.164
, Issue.3 SPEC. ISSUE
, pp. 137-151
-
-
Singer, J.1
Brown, G.2
-
30
-
-
33846380287
-
Dynamic per-branch history length adjustment to improve branch prediction accuracy
-
J. W. Kwak and C. S. Jhon. Dynamic per-branch history length adjustment to improve branch prediction accuracy. Microprocess. Microsyst., 31(1):63-76, 2007.
-
(2007)
Microprocess. Microsyst.
, vol.31
, Issue.1
, pp. 63-76
-
-
Kwak, J.W.1
Jhon, C.S.2
-
31
-
-
36849063126
-
Research challenges for on-chip interconnection networks
-
September-October
-
J.D. Owens, W.J. Dally, R. Ho, D.N. Jayasimha, S.W. Keckler and L. Peh. Research challenges for on-chip interconnection networks. IEEE Micro, 27(5):96-108, September-October 2007.
-
(2007)
IEEE Micro
, vol.27
, Issue.5
, pp. 96-108
-
-
Owens, J.D.1
Dally, W.J.2
Ho, R.3
Jayasimha, D.N.4
Keckler, S.W.5
Peh, L.6
-
33
-
-
35648995516
-
-
Technical report, EECS UC Berkeley, Technical Report No. UCB/EECS-2006-183
-
K. Asanovi, R. Bodik, B. C. Catanzaro, J.J. Gebis, P. Husbands, K. Keutzer, D.A. Patterson, W.L. Plishker, J. Shalf, S.W. Williams and K.A. Yelick. The Landscape of Parallel Computing Research: A View from Berkeley. Technical report, EECS UC Berkeley, Technical Report No. UCB/EECS-2006-183, 2006.
-
(2006)
The Landscape of Parallel Computing Research: A View from Berkeley
-
-
Asanovi, K.1
Bodik, R.2
Catanzaro, B.C.3
Gebis, J.J.4
Husbands, P.5
Keutzer, K.6
Patterson, D.A.7
Plishker, W.L.8
Shalf, J.9
Williams, S.W.10
Yelick, K.A.11
-
38
-
-
0026155511
-
Single instruction stream parallelism is greater than two
-
M. Butler, T. Yeh, Y. Patt, M. Alsup, H. Scales and M. Shebanow. Single instruction stream parallelism is greater than two. Proceedings of the 18th Annual International Symposium on Computer Architecture, pages 276-286, 1991.
-
(1991)
Proceedings of the 18th Annual International Symposium on Computer Architecture
, pp. 276-286
-
-
Butler, M.1
Yeh, T.2
Patt, Y.3
Alsup, M.4
Scales, H.5
Shebanow, M.6
-
44
-
-
10744223328
-
Thread partitioning and value prediction for exploiting speculative thread-level parallelism
-
February
-
P. Marcuello, A. Gonzalez and J. Tubella. Thread partitioning and value prediction for exploiting speculative thread-level parallelism. IEEE Trans. Computers, 53(2):114-125, February 2004.
-
(2004)
IEEE Trans. Computers
, vol.53
, Issue.2
, pp. 114-125
-
-
Marcuello, P.1
Gonzalez, A.2
Tubella, J.3
-
48
-
-
0025211732
-
Design of the IBM RISC System/6000 floating-point execution unit
-
R. K. Montoye, E. Hokenek and S. L. Runyon. Design of the ibm risc system/6000 floating-point execution unit. IBM Journal of Research and Development, 34(1):59-70, January 1990. (Pubitemid 20686677)
-
(1990)
IBM Journal of Research and Development
, vol.34
, Issue.1
, pp. 59-70
-
-
Montoye, R.K.1
Hokenek, E.2
Runyon, S.L.3
-
50
-
-
0030717766
-
Effects of communication latency, overhead, and bandwidth in a cluster architecture
-
R.P. Martin, A.M. Vahdat, D.E. Culler and T.E. Anderson. Effects of communication latency, overhead, and bandwidth in a cluster architecture. SIGARCH Computer Architecture News, 25(2):85-97, 1997.
-
(1997)
SIGARCH Computer Architecture News
, vol.25
, Issue.2
, pp. 85-97
-
-
Martin, R.P.1
Vahdat, A.M.2
Culler, D.E.3
Anderson, T.E.4
-
51
-
-
34547261834
-
Thousand Core Chips-A Technology Perspective
-
June
-
S. Borkar. Thousand Core Chips-A Technology Perspective. 44th ACM/IEEE Design Automation Conference, 4(8):746-749, June 2007.
-
(2007)
44th ACM/IEEE Design Automation Conference
, vol.4
, Issue.8
, pp. 746-749
-
-
Borkar, S.1
-
52
-
-
2942715361
-
The role of return value prediction in exploiting speculative method-level parallelism
-
November
-
S. Hu, R. Bhargava and L. K. John. The role of return value prediction in exploiting speculative method-level parallelism. Journal of Instruction-Level Parallelism, 5:1-21, November 2003.
-
(2003)
Journal of Instruction-Level Parallelism
, vol.5
, pp. 1-21
-
-
Hu, S.1
Bhargava, R.2
John, L.K.3
-
60
-
-
56349150784
-
Algorithms and tool support for dynamic information ow analysis
-
February
-
W. Masri and A. Podgurski. Algorithms and tool support for dynamic information ow analysis. Inf. Softw. Technol., 51(2):385-404, February 2009.
-
(2009)
Inf. Softw. Technol.
, vol.51
, Issue.2
, pp. 385-404
-
-
Masri, W.1
Podgurski, A.2
-
63
-
-
0345994348
-
Compiling for ilp processors
-
December
-
W. W. Hwu. Compiling for ilp processors. Proceedings of the IEEE, 83(12), December 1995.
-
(1995)
Proceedings of the IEEE
, vol.83
, Issue.12
-
-
Hwu, W.W.1
-
65
-
-
21644479032
-
The performance potential of data dependence speculation and collapsing
-
December
-
Y. Sazeides, S. Vassiliadis and J.E. Smith. The performance potential of data dependence speculation and collapsing. MICRO-29, December 1996.
-
(1996)
MICRO-29
-
-
Sazeides, Y.1
Vassiliadis, S.2
Smith, J.E.3
|