-
1
-
-
0034825713
-
Performance of hardware compressed main memory
-
Washington, DC, USA, IEEE Computer Society
-
B. Abali, H. Franke, X. Shen, D. E. Poff, and T. B. Smith. Performance of hardware compressed main memory. In HPCA '01: Proceedings of the 7th International Symposium on High-Performance Computer Architecture, page 73, Washington, DC, USA, 2001. IEEE Computer Society.
-
(2001)
HPCA '01: Proceedings of the 7th International Symposium on High-Performance Computer Architecture
, pp. 73
-
-
Abali, B.1
Franke, H.2
Shen, X.3
Poff, D.E.4
Smith, T.B.5
-
2
-
-
4644245377
-
Adaptive cache compression for high-performance processors
-
Washington, DC, USA, IEEE Computer Society
-
A. R. Alameldeen and D. A. Wood. Adaptive cache compression for high-performance processors. In ISCA '04: Proceedings of the 31st annual international symposium on Computer architecture, page 212, Washington, DC, USA, 2004. IEEE Computer Society.
-
(2004)
ISCA '04: Proceedings of the 31st annual international symposium on Computer architecture
, pp. 212
-
-
Alameldeen, A.R.1
Wood, D.A.2
-
3
-
-
4644306105
-
Frequent pattern compression: A signi.cance-based compression scheme for l2 caches
-
Technical Report 1500, Computer Sciences Department, University of Wisconsin-Madison, Apr
-
A. R. Alameldeen and D. A. Wood. Frequent pattern compression: A signi.cance-based compression scheme for l2 caches. Technical Report 1500, Computer Sciences Department, University of Wisconsin-Madison, Apr 2004.
-
(2004)
-
-
Alameldeen, A.R.1
Wood, D.A.2
-
4
-
-
27544506862
-
Improving multiprocessor performance with coarse-grain coherence tracking
-
Washington, DC, USA, IEEE Computer Society
-
J. F. Cantin, M. H. Lipasti, and J. E. Smith. Improving multiprocessor performance with coarse-grain coherence tracking. In ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture, pages 246-257, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture
, pp. 246-257
-
-
Cantin, J.F.1
Lipasti, M.H.2
Smith, J.E.3
-
5
-
-
84944457960
-
Adaptive compressed caching: Design and implementation
-
Washington, DC, USA, IEEE Computer Society
-
R. S. de Castro, A. P. do Lago, and D. Da Silva. Adaptive compressed caching: Design and implementation. In SBAC-PAD '03: Proceedings of the 15th Symposium on Computer Architecture and High Performance Computing, page 10, Washington, DC, USA, 2003. IEEE Computer Society.
-
(2003)
SBAC-PAD '03: Proceedings of the 15th Symposium on Computer Architecture and High Performance Computing
, pp. 10
-
-
de Castro, R.S.1
do Lago, A.P.2
Da Silva, D.3
-
7
-
-
27544435752
-
A robust main-memory compression scheme
-
Washington, DC, USA, IEEE Computer Society
-
M. Ekman and P. Stenstrom. A robust main-memory compression scheme. In ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture, pages 74-85, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture
, pp. 74-85
-
-
Ekman, M.1
Stenstrom, P.2
-
8
-
-
0033723498
-
A fully associative software-managed cache design
-
New York, NY, USA, ACM
-
E. G. Hallnor and S. K. Reinhardt. A fully associative software-managed cache design. In ISCA '00: Proceedings of the 27th annual international symposium on Computer architecture, pages 107-116, New York, NY, USA, 2000. ACM.
-
(2000)
ISCA '00: Proceedings of the 27th annual international symposium on Computer architecture
, pp. 107-116
-
-
Hallnor, E.G.1
Reinhardt, S.K.2
-
9
-
-
27444435309
-
A uni.ed compressed memory hierarchy
-
Washington, DC, USA, IEEE Computer Society
-
E. G. Hallnor and S. K. Reinhardt. A uni.ed compressed memory hierarchy. In HPCA '05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture, pages 201-212, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
HPCA '05: Proceedings of the 11th International Symposium on High-Performance Computer Architecture
, pp. 201-212
-
-
Hallnor, E.G.1
Reinhardt, S.K.2
-
10
-
-
34247143442
-
Communist, utilitarian, and capitalist cache policies on cmps: Caches as a shared resource
-
New York, NY, USA, ACM
-
L. R. Hsu, S. K. Reinhardt, R. Iyer, and S. Makineni. Communist, utilitarian, and capitalist cache policies on cmps: caches as a shared resource. In PACT '06: Proceedings of the 15th international conference on Parallel architectures and compilation techniques, pages 13-22, New York, NY, USA, 2006. ACM.
-
(2006)
PACT '06: Proceedings of the 15th international conference on Parallel architectures and compilation techniques
, pp. 13-22
-
-
Hsu, L.R.1
Reinhardt, S.K.2
Iyer, R.3
Makineni, S.4
-
11
-
-
84888994889
-
A selective compressed memory system by on-line data decompressing
-
J.-S. Lee, W.-K. Hong, and S.-D. Kim. A selective compressed memory system by on-line data decompressing. EUROMICRO Conference, 1:1224-1227, 1999.
-
(1999)
EUROMICRO Conference
, vol.1
, pp. 1224-1227
-
-
Lee, J.-S.1
Hong, W.-K.2
Kim, S.-D.3
-
12
-
-
0034499403
-
An on-chip cache compression technique to reduce decompression overhead and design complexity
-
J.-S. Lee, W.-K. Hong, and S.-D. Kim. An on-chip cache compression technique to reduce decompression overhead and design complexity. J. Syst. Archit., 46(15):1365-1382, 2000.
-
(2000)
J. Syst. Archit
, vol.46
, Issue.15
, pp. 1365-1382
-
-
Lee, J.-S.1
Hong, W.-K.2
Kim, S.-D.3
-
13
-
-
0034461107
-
Silent stores for free
-
New York, NY, USA, ACM
-
K. M. Lepak and M. H. Lipasti. Silent stores for free. In MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, pages 22-31, New York, NY, USA, 2000. ACM.
-
(2000)
MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
, pp. 22-31
-
-
Lepak, K.M.1
Lipasti, M.H.2
-
14
-
-
27544455733
-
Regionscout: Exploiting coarse grain sharing in snoop-based coherence
-
Washington, DC, USA, IEEE Computer Society
-
A. Moshovos. Regionscout: Exploiting coarse grain sharing in snoop-based coherence. In ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture, pages 234-245, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture
, pp. 234-245
-
-
Moshovos, A.1
-
15
-
-
33644879118
-
-
J. Renau, B. Fraguela, J. Tuck, W. Liu, M. Prvulovic, L. Ceze, S. Sarangi, P. Sack, K. Strauss, and P. Montesinos. SESC simulator, 2005. http://sesc.sourceforge.net.
-
(2005)
SESC simulator
-
-
Renau, J.1
Fraguela, B.2
Tuck, J.3
Liu, W.4
Prvulovic, M.5
Ceze, L.6
Sarangi, S.7
Sack, P.8
Strauss, K.9
Montesinos, P.10
-
16
-
-
84981164802
-
Improving system performance with compressed memory
-
Washington, DC, USA, IEEE Computer Society
-
S. Roy, R. Kumar, and M. Prvulovic. Improving system performance with compressed memory. In IPDPS '01: Proceedings of the 15th International Parallel & Distributed Processing Symposium, page 66, Washington, DC, USA, 2001. IEEE Computer Society.
-
(2001)
IPDPS '01: Proceedings of the 15th International Parallel & Distributed Processing Symposium
, pp. 66
-
-
Roy, S.1
Kumar, R.2
Prvulovic, M.3
-
17
-
-
0028324009
-
Decoupled sectored caches: Conciliating low tag implementation cost
-
Los Alamitos, CA, USA, IEEE Computer Society Press
-
A. Seznec. Decoupled sectored caches: conciliating low tag implementation cost. In ISCA '94: Proceedings of the 21st annual international symposium on Computer architecture, pages 384-393, Los Alamitos, CA, USA, 1994. IEEE Computer Society Press.
-
(1994)
ISCA '94: Proceedings of the 21st annual international symposium on Computer architecture
, pp. 384-393
-
-
Seznec, A.1
-
18
-
-
27544514378
-
Analysis of the o-geometric history length branch predictor
-
Washington, DC, USA, IEEE Computer Society
-
A. Seznec. Analysis of the o-geometric history length branch predictor. In ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture, pages 394-405, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
ISCA '05: Proceedings of the 32nd annual international symposium on Computer Architecture
, pp. 394-405
-
-
Seznec, A.1
-
19
-
-
0036038691
-
Symbiotic jobscheduling with priorities for a simultaneous multithreading processor
-
New York, NY, USA, ACM
-
A. Snavely, D. M. Tullsen, and G. Voelker. Symbiotic jobscheduling with priorities for a simultaneous multithreading processor. In SIGMETRICS '02: Proceedings of the 2002 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, pages 66-76, New York, NY, USA, 2002. ACM.
-
(2002)
SIGMETRICS '02: Proceedings of the 2002 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
, pp. 66-76
-
-
Snavely, A.1
Tullsen, D.M.2
Voelker, G.3
-
20
-
-
70449699977
-
-
D. Tarjan, S. Thoziyoor, and N. P. Jouppi. Cacti 4.2. http://quid.hpl.hp.com:9081/cacti/.
-
D. Tarjan, S. Thoziyoor, and N. P. Jouppi. Cacti 4.2. http://quid.hpl.hp.com:9081/cacti/.
-
-
-
-
21
-
-
0034461412
-
Dynamic zero compression for cache energy reduction
-
New York, NY, USA, ACM
-
L. Villa, M. Zhang, and K. Asanović. Dynamic zero compression for cache energy reduction. In MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, pages 214-220, New York, NY, USA, 2000. ACM.
-
(2000)
MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
, pp. 214-220
-
-
Villa, L.1
Zhang, M.2
Asanović, K.3
-
22
-
-
85084162609
-
The case for compressed caching in virtual memory systems
-
Berkeley, CA, USA, USENIX Association
-
P. R. Wilson, S. F. Kaplan, and Y. Smaragdakis. The case for compressed caching in virtual memory systems. In ATEC '99: Proceedings of the annual conference on USENIX Annual Technical Conference, pages 8-8, Berkeley, CA, USA, 1999. USENIX Association.
-
(1999)
ATEC '99: Proceedings of the annual conference on USENIX Annual Technical Conference
, pp. 8-8
-
-
Wilson, P.R.1
Kaplan, S.F.2
Smaragdakis, Y.3
-
23
-
-
0038364440
-
Frequent value locality and its applications
-
79-105
-
J. Yang and R. Gupta. Frequent value locality and its applications. Trans. on Embedded Computing Sys., 1(1):79-105, 2002.
-
(2002)
Trans. on Embedded Computing Sys
, vol.1
, Issue.1
-
-
Yang, J.1
Gupta, R.2
-
24
-
-
0034462656
-
Frequent value compression in data caches
-
New York, NY, USA, ACM
-
J. Yang, Y. Zhang, and R. Gupta. Frequent value compression in data caches. In MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, pages 258-265, New York, NY, USA, 2000. ACM.
-
(2000)
MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
, pp. 258-265
-
-
Yang, J.1
Zhang, Y.2
Gupta, R.3
-
25
-
-
47349115313
-
A framework for coarse-grain optimizations in the on-chip memory hierarchy
-
Washington, DC, USA, IEEE Computer Society
-
J. Zebchuk, E. Safi, and A. Moshovos. A framework for coarse-grain optimizations in the on-chip memory hierarchy. In MICRO '07: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, pages 314-327, Washington, DC, USA, 2007. IEEE Computer Society.
-
(2007)
MICRO '07: Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture
, pp. 314-327
-
-
Zebchuk, J.1
Safi, E.2
Moshovos, A.3
|