-
1
-
-
85027124029
-
Virtual wires: Overcoming pin limitations in FPGA-based logic emulators
-
Los Alamitos, CA, USA
-
J. Babb, R. Tessier, and A. Agarwal. Virtual Wires: Overcoming Pin Limitations in FPGA-based Logic Emulators. In Proceedings of the IEEE Workshop on FPGAs for Custom Computing Machines, pages 142-151, Los Alamitos, CA, USA, 1993.
-
(1993)
Proceedings of the IEEE Workshop on FPGAS for Custom Computing Machines
, pp. 142-151
-
-
Babb, J.1
Tessier, R.2
Agarwal, A.3
-
2
-
-
0034462014
-
Instruction distribution heuristics for quad-cluster, dynamically-scheduled, superscalar processors
-
Monterey, CA, USA
-
A. Baniasadi and A. Moshovos. Instruction Distribution Heuristics for Quad-Cluster, Dynamically-Scheduled, Superscalar Processors. In Proceedings of the 33rd International Symposium on Microarchitecture, pages 337-347, Monterey, CA, USA, 2000.
-
(2000)
Proceedings of the 33rd International Symposium on Microarchitecture
, pp. 337-347
-
-
Baniasadi, A.1
Moshovos, A.2
-
3
-
-
0032778066
-
Dynamically exploiting narrow width operands to improve processor power and performance
-
Orlando, FL, USA, January
-
D. Brooks and M. Martonosi. Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance. In Proceedings of the 5th International Symposium on High Performance Computer Architecture, pages 13-22, Orlando, FL, USA, January 1999.
-
(1999)
Proceedings of the 5th International Symposium on High Performance Computer Architecture
, pp. 13-22
-
-
Brooks, D.1
Martonosi, M.2
-
4
-
-
0002525825
-
Value-based clock gating and operation packing: Dynamic strategies for improving processor power and performance
-
May
-
D. Brooks and M. Martonosi. Value-Based Clock Gating and Operation Packing: Dynamic Strategies for Improving Processor Power and Performance. ACM Transactions on Computer Systems, 18(2):89-126, May 2000.
-
(2000)
ACM Transactions on Computer Systems
, vol.18
, Issue.2
, pp. 89-126
-
-
Brooks, D.1
Martonosi, M.2
-
8
-
-
0028733872
-
A 2. 2 W, 80 Mhz superscalar RISC microprocessor
-
December
-
G. G. et al. A 2. 2 W, 80 Mhz Superscalar RISC Microprocessor. IEEE Journal of Solid-State Circuits, 29(12):1440-1454, December 1994.
-
(1994)
IEEE Journal of Solid-State Circuits
, vol.29
, Issue.12
, pp. 1440-1454
-
-
Ga, G.1
-
9
-
-
85013868195
-
The effect of speculatively updating branch history on branch prediction accuracy, revisited
-
San Jose, CA, USA, November
-
E. Hao, P.-Y. Chand, and Y. N. Patt. The Effect of Speculatively Updating Branch History on Branch Prediction Accuracy, Revisited. In Proceedings of the 27th International Symposium on Microarchitecture, pages 228-232, San Jose, CA, USA, November 1994.
-
(1994)
Proceedings of the 27th International Symposium on Microarchitecture
, pp. 228-232
-
-
Hao, E.1
Chand, P.-Y.2
Patt, Y.N.3
-
11
-
-
0009613335
-
The microarchitecture of the pentium 4 processor
-
G. Hinton, D. Sager, M. Upton, D. Boggs, D. Karmean, A. Kyler, and P. Roussel. The Microarchitecture of the Pentium 4 Processor. Intel Technology Journal, Q1 2001.
-
(2001)
Intel Technology Journal, Q1
-
-
Hinton, G.1
Sager, D.2
Upton, M.3
Boggs, D.4
Karmean, D.5
Kyler, A.6
Roussel, P.7
-
12
-
-
0036287089
-
The optimum logic depth per pipeline stage is 6 to 8 fo4 inverter delays
-
Anchorage, Alaska, May
-
M. S. Hrishikesh, N. P. Jouppi, K. I. Farkas, D. Burger, S. W. Keckler, and P. Shivakumar. The Optimum Logic Depth Per Pipeline Stage is 6 to 8 FO4 Inverter Delays. In Proceedings of the 29th International Symposium on Computer Architecture, pages 14-24, Anchorage, Alaska, May 2002.
-
(2002)
Proceedings of the 29th International Symposium on Computer Architecture
, pp. 14-24
-
-
Hrishikesh, M.S.1
Jouppi, N.P.2
Farkas, K.I.3
Burger, D.4
Keckler, S.W.5
Shivakumar, P.6
-
13
-
-
0032639289
-
The alpha 21264 microprocessor
-
March-April
-
R. E. Kessler. The Alpha 21264 Microprocessor. IEEE Micro Magazine, 19(2):24-36, March-April 1999.
-
(1999)
IEEE Micro Magazine
, vol.19
, Issue.2
, pp. 24-36
-
-
Kessler, R.E.1
-
14
-
-
84962163449
-
MASE: A novel infrastructure for detailed microarchitectural modeling
-
Tucson, AZ, USA, November
-
E. Larson, S. Chatterjee, and T. Austin. MASE: A Novel Infrastructure for Detailed Microarchitectural Modeling. In Proceedings of the 2001 International Symposium on Performance Analysis of Systems and Software, Tucson, AZ, USA, November 2001.
-
(2001)
Proceedings of the 2001 International Symposium on Performance Analysis of Systems and Software
-
-
Larson, E.1
Chatterjee, S.2
Austin, T.3
-
15
-
-
0031338573
-
The Bi-mode branch predictor
-
Research Triangle Park, NC, USA, December
-
C.-C. Lee, I.-C. K. Chen, and T. N. Mudge. The Bi-Mode Branch Predictor. In Proceedings of the 30th International Symposium on Microarchitecture, pages 4-13, Research Triangle Park, NC, USA, December 1997.
-
(1997)
Proceedings of the 30th International Symposium on Microarchitecture
, pp. 4-13
-
-
Lee, C.-C.1
Chen, I.-C.K.2
Mudge, T.N.3
-
16
-
-
0029290814
-
Accelerating multimedia with enhanced microprocessors
-
April
-
R. Lee. Accelerating Multimedia with Enhanced Microprocessors. IEEE Micro Magazine, 15(2):22-32, April 1995.
-
(1995)
IEEE Micro Magazine
, vol.15
, Issue.2
, pp. 22-32
-
-
Lee, R.1
-
18
-
-
2842554734
-
Value locality and load value prediction
-
Cambridge, MA, USA, October
-
M. H. Lipasti, C. B. Wilkerson, and J. P. Shen. Value Locality and Load Value Prediction. In Proceedings of the Symposium on Architectural Support for Programming Languages and Operating Systems, pages 138-147, Cambridge, MA, USA, October 1996.
-
(1996)
Proceedings of the Symposium on Architectural Support for Programming Languages and Operating Systems
, pp. 138-147
-
-
Lipasti, M.H.1
Wilkerson, C.B.2
Shen, J.P.3
-
20
-
-
0003506711
-
-
TN 36, Compaq Computer Corporation Western Research Laboratory, June
-
S. McFarling. Combining Branch Predictors. TN 36, Compaq Computer Corporation Western Research Laboratory, June 1993.
-
(1993)
Combining Branch Predictors
-
-
McFarling, S.1
-
21
-
-
0030645118
-
Trading conflict and capacity aliasing in conditional branch predictors
-
Boulder, CO, USA, June
-
P. Michaud, A. Seznec, and R. Uhlig. Trading Conflict and Capacity Aliasing in Conditional Branch Predictors. In Proceedings of the 24th International Symposium on Computer Architecture, pages 292-303, Boulder, CO, USA, June 1997.
-
(1997)
Proceedings of the 24th International Symposium on Computer Architecture
, pp. 292-303
-
-
Michaud, P.1
Seznec, A.2
Uhlig, R.3
-
22
-
-
0030717767
-
Dynamic speculation and synchronization of data dependences
-
Boulder, CO, USA, June
-
A. Moshovos, S. E. Breach, T. N. Vijaykumar, and G. S. Sohi. Dynamic Speculation and Synchronization of Data Dependences. In Proceedings of the 24th International Symposium on Computer Architecture, pages 181-193, Boulder, CO, USA, June 1997.
-
(1997)
Proceedings of the 24th International Symposium on Computer Architecture
, pp. 181-193
-
-
Moshovos, A.1
Breach, S.E.2
Vijaykumar, T.N.3
Sohi, G.S.4
-
23
-
-
0031364381
-
Streamlining inter-operation memory communication via data dependence prediction
-
Research Triangle Park, NC, USA, December
-
A. Moshovos and G. S. Sohi. Streamlining Inter-operation Memory Communication via Data Dependence Prediction. In Proceedings of the 30th International Symposium on Microarchitecture, pages 235-245, Research Triangle Park, NC, USA, December 1997.
-
(1997)
Proceedings of the 30th International Symposium on Microarchitecture
, pp. 235-245
-
-
Moshovos, A.1
Sohi, G.S.2
-
25
-
-
0030676681
-
Complexity-effective superscalar processors
-
Boulder, CO, USA, June
-
S. Palacharla, N. P. Jouppi, and J. E. Smith. Complexity-Effective Superscalar Processors. In Proceedings of the 24th International Symposium on Computer Architecture, pages 206-218, Boulder, CO, USA, June 1997.
-
(1997)
Proceedings of the 24th International Symposium on Computer Architecture
, pp. 206-218
-
-
Palacharla, S.1
Jouppi, N.P.2
Smith, J.E.3
-
26
-
-
0026918390
-
Improving the accuracy of dynamic branch prediction using branch correlation
-
Boston, MA, USA, October
-
S. T. Pan, K. So, and J. T. Rahmeh. Improving the Accuracy of Dynamic Branch Prediction using Branch Correlation. In Proceedings of the Symposium on Architectural Support for Programming Languages and Operating Systems, pages 12-15, Boston, MA, USA, October 1992.
-
(1992)
Proceedings of the Symposium on Architectural Support for Programming Languages and Operating Systems
, pp. 12-15
-
-
Pan, S.T.1
So, K.2
Rahmeh, J.T.3
-
27
-
-
0002517538
-
MMX technology extension to the Intel architecture
-
August
-
A. Peleg and U. Weiser. MMX technology extension to the Intel architecture. IEEE Micro Magazine, 16(4):51-59, August 1996.
-
(1996)
IEEE Micro Magazine
, vol.16
, Issue.4
, pp. 51-59
-
-
Peleg, A.1
Weiser, U.2
-
28
-
-
0003566925
-
-
TR 595-99, Princeton University Department of Computer Science, January
-
K. Skadron, M. Martonosi, and D. W. Clark. Selecting a Single, Representative Sample for Accurate Simulation of SPECint Benchmarks. TR 595-99, Princeton University Department of Computer Science, January 1999.
-
(1999)
Selecting A Single, Representative Sample for Accurate Simulation of SPECint Benchmarks
-
-
Skadron, K.1
Martonosi, M.2
Clark, D.W.3
-
29
-
-
0019893647
-
A study of branch prediction strategies
-
Minneapolis, MN, USA, May
-
J. E. Smith. A Study of Branch Prediction Strategies. In Proceedings of the 8th International Symposium on Computer Architecture, pages 135-148, Minneapolis, MN, USA, May 1981.
-
(1981)
Proceedings of the 8th International Symposium on Computer Architecture
, pp. 135-148
-
-
Smith, J.E.1
-
31
-
-
0034461412
-
Dynamic zero compression for cache energy reduction
-
Monterey, CA, USA, December
-
L. Villa, M. Zhang, and K. Asanovíc. Dynamic Zero Compression for Cache Energy Reduction. In Proceedings of the 33rd International Symposium on Microarchitecture, Monterey, CA, USA, December 2000.
-
(2000)
Proceedings of the 33rd International Symposium on Microarchitecture
-
-
Villa, L.1
Zhang, M.2
Asanovíc, K.3
-
32
-
-
85034094146
-
Two-level adaptive branch prediction
-
Albuqueque, NM, USA, November
-
T.-Y. Yeh and Y. N. Patt. Two-Level Adaptive Branch Prediction. In Proceedings of the 24th International Symposium on Microarchitecture, pages 51-61, Albuqueque, NM, USA, November 1991.
-
(1991)
Proceedings of the 24th International Symposium on Microarchitecture
, pp. 51-61
-
-
Yeh, T.-Y.1
Patt, Y.N.2
|