-
1
-
-
16244380047
-
Adaptive body bias for reducing impacts of die-to-die and within die parameter variations on microprocessor frequency and leakage
-
J. T. et al., "Adaptive body bias for reducing impacts of die-to-die and within die parameter variations on microprocessor frequency and leakage," in Proceedings of International Solid-State Circuits Conference, pp. 422-423, 2001.
-
(2001)
Proceedings of International Solid-state Circuits Conference
, pp. 422-423
-
-
T., J.1
-
2
-
-
33646864552
-
Leakage current mechanism and leakage reduction techniques in deep-submicrometer CMOS circuits
-
Feb.
-
K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimand, "Leakage current mechanism and leakage reduction techniques in deep-submicrometer CMOS circuits," Proceedings of the IEEE, vol. 91, pp. 305-327, Feb. 2003.
-
(2003)
Proceedings of the IEEE
, vol.91
, pp. 305-327
-
-
Roy, K.1
Mukhopadhyay, S.2
Mahmoodi-Meimand, H.3
-
3
-
-
0029359285
-
1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS
-
Aug.
-
S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu, and J. Yamda, "1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS," IEEE Journal of Sola-State Circuits, vol. SC-30, pp. 847-854, Aug. 1995.
-
(1995)
IEEE Journal of Sola-state Circuits
, vol.SC-30
, pp. 847-854
-
-
Mutoh, S.1
Douseki, T.2
Matsuya, Y.3
Aoki, T.4
Shigematsu, S.5
Yamda, J.6
-
4
-
-
0031639695
-
MTCMOS hierarchical sizing based on mutual exclusive discharge patterns
-
June
-
J. Kao, S. Narendra, and A. Chandrakasan, "MTCMOS hierarchical sizing based on mutual exclusive discharge patterns," in Proceedings of the Design Automation Conference, pp. 495-500, June 1998.
-
(1998)
Proceedings of the Design Automation Conference
, pp. 495-500
-
-
Kao, J.1
Narendra, S.2
Chandrakasan, A.3
-
5
-
-
0034293891
-
A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere stand-by current
-
Oct.
-
H. Kawaguchi, K. Nose, and T. Sakura, "A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere stand-by current," IEEE Journal of Solid-State Circuits, vol. SC-35, pp. 1498-1501, Oct. 2000.
-
(2000)
IEEE Journal of Solid-state Circuits
, vol.SC-35
, pp. 1498-1501
-
-
Kawaguchi, H.1
Nose, K.2
Sakura, T.3
-
6
-
-
0034863403
-
Enhanced multi-threshold (MTCMOS) circuits using variable well bias
-
Aug.
-
S. V. Kosonocky, M. Immediato, P. Cottrell, T. Hook, R. Mann, and J. Brown, "Enhanced multi-threshold (MTCMOS) circuits using variable well bias," in Proceedings of International Symposium on Low-Power Electronics and Design, pp. 165-169, Aug. 2001.
-
(2001)
Proceedings of International Symposium on Low-power Electronics and Design
, pp. 165-169
-
-
Kosonocky, S.V.1
Immediato, M.2
Cottrell, P.3
Hook, T.4
Mann, R.5
Brown, J.6
-
7
-
-
0036049095
-
Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique
-
June
-
M. Anis, S. Areibi, M. Mahmoud, and M. Elmasry, "Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique," in Proceedings of the Design Automation Conference, pp. 480-485, June 2002.
-
(2002)
Proceedings of the Design Automation Conference
, pp. 480-485
-
-
Anis, M.1
Areibi, S.2
Mahmoud, M.3
Elmasry, M.4
-
8
-
-
1542329520
-
Understanding and minimizing ground bounce during mode transition of power gating structure
-
Aug.
-
S. Kim, S. V. Kosonocky, and D. R. Knebel, "Understanding and minimizing ground bounce during mode transition of power gating structure, " in Proceedings of International Symposium on Low-Power Electronics and Design, pp. 22-25, Aug. 2003.
-
(2003)
Proceedings of International Symposium on Low-power Electronics and Design
, pp. 22-25
-
-
Kim, S.1
Kosonocky, S.V.2
Knebel, D.R.3
-
9
-
-
84893816464
-
Minimizing inductive noise in system-on-a-chip with multiple power gating structures
-
S. Kim, S. V. Kosonocky, D. R. Knebel, K. Stawiasz, D. Heidel, and M. Immediato, "Minimizing inductive noise in system-on-a-chip with multiple power gating structures," in Proceedings of European Solid-State Circuits, pp. 16-18, 2003.
-
(2003)
Proceedings of European Solid-state Circuits
, pp. 16-18
-
-
Kim, S.1
Kosonocky, S.V.2
Knebel, D.R.3
Stawiasz, K.4
Heidel, D.5
Immediato, M.6
-
10
-
-
0030651637
-
Analysis of ground bounce in deep sub-micron circuits
-
Y. Chang, S. K. Gupta, and M. A. Breuer, "Analysis of ground bounce in deep sub-micron circuits," in Proceedings of 15th IEEE VLSI Test Symposium, pp. 110-116, 1997.
-
(1997)
Proceedings of 15th IEEE VLSI Test Symposium
, pp. 110-116
-
-
Chang, Y.1
Gupta, S.K.2
Breuer, M.A.3
-
12
-
-
0033695995
-
On-chip Delta;I noise in the power distribution networks of high speed CMOS integrated circuit
-
Sept.
-
K. T. Tang and E. G. Friedman, "On-chip Delta;I noise in the power distribution networks of high speed CMOS integrated circuit," in Proceedings of IEEE International ASIC/SOC Conference, pp. 53-57, Sept. 2000.
-
(2000)
Proceedings of IEEE International ASIC/SOC Conference
, pp. 53-57
-
-
Tang, K.T.1
Friedman, E.G.2
-
13
-
-
0033359227
-
An architectural solution for the inductive noise problem due to clock-gating
-
M. D. Pant, P. Pant, D. S. Wills, and V. Tiwari, "An architectural solution for the inductive noise problem due to clock-gating," in Proceedings of IEEE International Symposium on Circuits and Systems, pp. 255-257, 1999.
-
(1999)
Proceedings of IEEE International Symposium on Circuits and Systems
, pp. 255-257
-
-
Pant, M.D.1
Pant, P.2
Wills, D.S.3
Tiwari, V.4
-
14
-
-
0036949408
-
A microarchitectural-level step-power analysis tool
-
W. El-Essawy, D. H. Albonesi, and B. Sinharoy, "A microarchitectural-level step-power analysis tool," in Proceedings of International Symposium on Low-Power Electronics and Design, pp. 263-266, 2002.
-
(2002)
Proceedings of International Symposium on Low-power Electronics and Design
, pp. 263-266
-
-
El-Essawy, W.1
Albonesi, D.H.2
Sinharoy, B.3
-
15
-
-
0031641123
-
A novel powering-down scheme for low Vt CMOS circuits
-
K. Kumagai, J. Iwaki, H. Suzuki, T. Yamada, and S. Kurosawa, "A novel powering-down scheme for low Vt CMOS circuits," in Digest of Technical Papers of IEEE Symposium on VLSI Circuits, pp. 44-45, 1998.
-
(1998)
Digest of Technical Papers of IEEE Symposium on VLSI Circuits
, pp. 44-45
-
-
Kumagai, K.1
Iwaki, J.2
Suzuki, H.3
Yamada, T.4
Kurosawa, S.5
|