-
2
-
-
0033358971
-
Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation
-
K. Chose and M. B. Kamble, "Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation," in International Symposium on Low Power Electronics and Design, pp. 70 -75, 1999.
-
(1999)
International Symposium on Low Power Electronics and Design
, pp. 70-75
-
-
Chose, K.1
Kamble, M.B.2
-
3
-
-
0029194648
-
Energy optimization of multi-level process cache architectures
-
U. Ko, P. T. Balsara, and A. K. Nanda, "Energy optimization of multi-level process cache architectures," in Prod. of the 1995 Internation Symposium on Low Power Design, pp. 45 -49, 1995.
-
(1995)
Prod. of the 1995 Internation Symposium on Low Power Design
, pp. 45-49
-
-
Ko, U.1
Balsara, P.T.2
Nanda, A.K.3
-
5
-
-
0031336708
-
The filter cache: An energy efficient memory structure
-
December
-
J. Kin, M. Gupta, and W. Mangione-Smith, "The filter cache: an energy efficient memory structure," in 30th Annual International Symposium on Microarchitecture (Micro '97), pp. 184-193, December 1997.
-
(1997)
30th Annual International Symposium on Microarchitecture (Micro '97)
, pp. 184-193
-
-
Kin, J.1
Gupta, M.2
Mangione-Smith, W.3
-
6
-
-
0029492342
-
Sh3: High code density, low power
-
December
-
A. Hasegawa, I. Kawasaki, K. Yamada, S. Yoshioka, S. Kawasaki, and P. Biswas, "Sh3: High code density, low power," IEEE Micro, vol. 15, pp. 11-19, December 1995.
-
(1995)
IEEE Micro
, vol.15
, pp. 11-19
-
-
Hasegawa, A.1
Kawasaki, I.2
Yamada, K.3
Yoshioka, S.4
Kawasaki, S.5
Biswas, P.6
-
7
-
-
0036403199
-
Data cache design considerations for the itanium2 processor
-
T. Lyon, E. Delano, C. McNairy, and D. Mulla, "Data cache design considerations for the itanium2 processor," in Proceedings of the 2002 IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD'02), pp. 356 -362, 2002.
-
(2002)
Proceedings of the 2002 IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD'02)
, pp. 356-362
-
-
Lyon, T.1
Delano, E.2
McNairy, C.3
Mulla, D.4
-
8
-
-
0038684781
-
A highly configurable cache architecture for embedded systems
-
C. Zhang, F. Vahlid, and W. Najjar, "A highly configurable cache architecture for embedded systems," in The Prod. of the 30th Annual International Symposium on Computer Architecture (ISCA03), pp. 125-136, 2003.
-
(2003)
The Prod. of the 30th Annual International Symposium on Computer Architecture (ISCA03)
, pp. 125-136
-
-
Zhang, C.1
Vahlid, F.2
Najjar, W.3
-
9
-
-
84933069131
-
Just say no: Benefits of early cache miss determination
-
G. Memik, G. Reinman, and W. Mangio-Smith, "Just say no: Benefits of early cache miss determination," in Prod. of the Ninth International Symposium on High-Performance Computer Architecture, pp. 307-316, 2003.
-
(2003)
Prod. of the Ninth International Symposium on High-Performance Computer Architecture
, pp. 307-316
-
-
Memik, G.1
Reinman, G.2
Mangio-Smith, W.3
-
10
-
-
0024104573
-
Cache performance of operating systems and multiprogramming
-
November
-
A. Agarwal, J. Hennesy, and M. Horowits, "Cache performance of operating systems and multiprogramming," in ACM Transactions on Computer Systems, pp. 393-431, November 1988.
-
(1988)
ACM Transactions on Computer Systems
, pp. 393-431
-
-
Agarwal, A.1
Hennesy, J.2
Horowits, M.3
-
12
-
-
0023252545
-
Cache design of a sub-micron cmos system/370
-
June
-
J. H. Chang, H. Chao, and K. So., "Cache design of a sub-micron cmos system/370," in 14th Annual International Symposium on Computer Architecture, SIGARCH Newsletter, pp. 208-213, June 1987.
-
(1987)
14th Annual International Symposium on Computer Architecture, SIGARCH Newsletter
, pp. 208-213
-
-
Chang, J.H.1
Chao, H.2
So, K.3
-
13
-
-
0029710803
-
Predictive sequential associative cache
-
B. Calder, D. Grunwald, and J. Emer, "Predictive sequential associative cache," in Proc. of the 2nd IEEE Symposium on High-Performance Computer Architecture (HPCA '96), pp. 244-254, 1996.
-
(1996)
Proc. of the 2nd IEEE Symposium on High-Performance Computer Architecture (HPCA '96)
, pp. 244-254
-
-
Calder, B.1
Grunwald, D.2
Emer, J.3
-
15
-
-
84948754628
-
Integrating adaptive on-chip storage structures for reduced dynamic power
-
S.Dropsho, A. Buyuktonsunoglu, D. H. A. R. Balasubramonian, G. S. S. Dwarkadas, G. Magklis, and M. Scott, "Integrating adaptive on-chip storage structures for reduced dynamic power," in International Conference on Parallel Architectures and Compilation Techniques (PACT02), pp. 190-202, 2002.
-
(2002)
International Conference on Parallel Architectures and Compilation Techniques (PACT02)
, pp. 190-202
-
-
Dropsho, S.1
Buyuktonsunoglu, A.2
Balasubramonian, D.H.A.R.3
Dwarkadas, G.S.S.4
Magklis, G.5
Scott, M.6
-
16
-
-
0033363078
-
Way-predicting set-associative cache for high performance and low energy consumption
-
K. Inoue, T. Ishihara, and K. Murakami, "Way-predicting set-associative cache for high performance and low energy consumption," in International Symposium on Low Power Electronics and Design, pp. 273-275, 1999.
-
(1999)
International Symposium on Low Power Electronics and Design
, pp. 273-275
-
-
Inoue, K.1
Ishihara, T.2
Murakami, K.3
-
17
-
-
0035693947
-
Reducing set-associative cache energy via way-prediction and selective direct-mapping
-
December
-
M. Powell, A. Agrawal, T. Vijaykumar, B. Falsafi, and K. Roy, "Reducing set-associative cache energy via way-prediction and selective direct-mapping," in 34th Annual International Symposium on Microarchitecture (MICRO'01), pp. 54-65, December 2001.
-
(2001)
34th Annual International Symposium on Microarchitecture (MICRO'01)
, pp. 54-65
-
-
Powell, M.1
Agrawal, A.2
Vijaykumar, T.3
Falsafi, B.4
Roy, K.5
-
18
-
-
0029666649
-
The difference-bit cache
-
T. Juan, T. Lang, and J. J. Navarro, "The difference-bit cache," in Proc. of the 23rd annual international symposium on computer architecture, pp. 114-120, 1996.
-
(1996)
Proc. of the 23rd Annual International Symposium on Computer Architecture
, pp. 114-120
-
-
Juan, T.1
Lang, T.2
Navarro, J.J.3
-
20
-
-
0031649664
-
Modeling and analysis of the difference-bit cache
-
K. A., N. Chander, P. S., and J. L., "Modeling and analysis of the difference-bit cache," in Proc. of the 8th Great Lakes Symposium on VLSI, pp. 140-145, 1998.
-
(1998)
Proc. of the 8th Great Lakes Symposium on VLSI
, pp. 140-145
-
-
A., K.1
Chander, N.2
S., P.3
L., J.4
-
21
-
-
0345757132
-
Let caches decay: Reducing leakage energy via exploitation of cache generational behavior
-
Z. Hu, S. Kaxiras, and M. Martonosi, "Let caches decay: reducing leakage energy via exploitation of cache generational behavior," ACM Transactions on Computer Systems, vol. 20, no. 11, pp. 161-190, 2002.
-
(2002)
ACM Transactions on Computer Systems
, vol.20
, Issue.11
, pp. 161-190
-
-
Hu, Z.1
Kaxiras, S.2
Martonosi, M.3
-
23
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
June
-
K. Flautner, N. Kim, S. Martin, D. Blaauw, and T. Mudge, "Drowsy caches: Simple techniques for reducing leakage power," in International Symposium on Computer Architecture, pp. 148-158, June 2002.
-
(2002)
International Symposium on Computer Architecture
, pp. 148-158
-
-
Flautner, K.1
Kim, N.2
Martin, S.3
Blaauw, D.4
Mudge, T.5
-
24
-
-
0035177403
-
Adaptive mode control: A static-power-efficient cache design
-
(Barcelona, Spain), September
-
H. Zhou, M. C. Toburen, E. Rotenberg, and T. M. Conte, "Adaptive mode control: A static-power-efficient cache design," in International Conference on Parallel Architectures and Compilation Techniques (PACT'01), (Barcelona, Spain), pp. 61-73, September 2001.
-
(2001)
International Conference on Parallel Architectures and Compilation Techniques (PACT'01)
, pp. 61-73
-
-
Zhou, H.1
Toburen, M.C.2
Rotenberg, E.3
Conte, T.M.4
|