-
1
-
-
84865538625
-
ITRS Emerging Research Devices (ERD) Chapter
-
ITRS Emerging Research Devices (ERD) Chapter. In ITRS, 2011.
-
(2011)
ITRS
-
-
-
2
-
-
84865531056
-
Process Integration, Devices, and Structures (PIDS)
-
Process Integration, Devices, and Structures (PIDS). In ITRS, 2011.
-
(2011)
ITRS
-
-
-
3
-
-
84908162157
-
NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Non-Volatile Memory
-
Dec.
-
X. Dong et al. NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Non-Volatile Memory. In TCAD, Dec. 2011.
-
(2011)
TCAD
-
-
Dong, X.1
-
4
-
-
0036294454
-
Drowsy caches: Simple techniques for reducing leakage power
-
K. Flautner et al. Drowsy caches: simple techniques for reducing leakage power. In ISCA, 2002.
-
(2002)
ISCA
-
-
Flautner, K.1
-
5
-
-
84962779213
-
MiBench: A free, commercially representative embedded benchmark suite
-
M. R. Guthaus et al. MiBench: A free, commercially representative embedded benchmark suite. In WWC, 2001.
-
(2001)
WWC
-
-
Guthaus, M.R.1
-
6
-
-
36849034066
-
SPEC CPU2006 benchmark descriptions
-
Sep
-
J. L. Henning. SPEC CPU2006 benchmark descriptions. SIGARCH Comput. Archit. News, 34(4), Sep 2006.
-
(2006)
SIGARCH Comput. Archit. News
, vol.34
, Issue.4
-
-
Henning, J.L.1
-
7
-
-
34548817649
-
A novel nonvolatile memory with spin torque transfer magnetization switching: Spin-RAM
-
M. Hosomi et al. A novel nonvolatile memory with spin torque transfer magnetization switching: Spin-RAM. In IEDM, 2005.
-
(2005)
IEDM
-
-
Hosomi, M.1
-
8
-
-
85008008190
-
2 Mb SPRAM (SPin-Transfer Torque RAM) with Bit-by-Bit Bi-Directional Current Write and Parallelizing-Direction Current Read
-
Jan.
-
T. Kawahara et al. 2 Mb SPRAM (SPin-Transfer Torque RAM) With Bit-by-Bit Bi-Directional Current Write and Parallelizing-Direction Current Read. JSSC, 43, Jan. 2008.
-
(2008)
JSSC
, vol.43
-
-
Kawahara, T.1
-
9
-
-
1642310480
-
Circuit and microarchitectural techniques for reducing cache leakage power
-
Feb.
-
N. S. Kim et al. Circuit and microarchitectural techniques for reducing cache leakage power. TVLSI, 12(2), Feb. 2004.
-
(2004)
TVLSI
, vol.12
, Issue.2
-
-
Kim, N.S.1
-
10
-
-
0031336708
-
The filter cache: An energy efficient memory structure
-
J. Kin et al. The filter cache: an energy efficient memory structure. In MICRO, 1997.
-
(1997)
MICRO
-
-
Kin, J.1
-
11
-
-
36949021307
-
A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM
-
J. P. Kulkarni et al. A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM. In ISLPED, 2007.
-
(2007)
ISLPED
-
-
Kulkarni, J.P.1
-
12
-
-
80052533056
-
Architecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs
-
A. K. Mishra et al. Architecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs. In ISCA, 2011.
-
(2011)
ISCA
-
-
Mishra, A.K.1
-
13
-
-
77953026580
-
Experimental demonstration of 100nm channel length In0.53Ga0.47As-based vertical inter-band tunnel field effect transistors (TFETs) for ultra low-power logic and SRAM applications
-
S. Mookerjea et al. Experimental demonstration of 100nm channel length In0.53Ga0.47As-based vertical inter-band tunnel field effect transistors (TFETs) for ultra low-power logic and SRAM applications. In IEDM, 2009.
-
(2009)
IEDM
-
-
Mookerjea, S.1
-
14
-
-
41349122721
-
Architecting Efficient Interconnects for Large Caches with CACTI 6.0
-
Jan.
-
N. Muralimanohar et al. Architecting Efficient Interconnects for Large Caches with CACTI 6.0. IEEE Micro, 28(1), Jan. 2008.
-
(2008)
IEEE Micro
, vol.28
, Issue.1
-
-
Muralimanohar, N.1
-
15
-
-
0029359285
-
1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS
-
Aug
-
S. Mutoh et al. 1-V power supply high-speed digital circuit technology with multithreshold-voltage CMOS. JSSC, 30(8):847-854, Aug 1995.
-
(1995)
JSSC
, vol.30
, Issue.8
, pp. 847-854
-
-
Mutoh, S.1
-
16
-
-
2942687683
-
SRAM leakage suppression by minimizing standby supply voltage
-
H. Qin et al. SRAM leakage suppression by minimizing standby supply voltage. In ISQED, 2004.
-
(2004)
ISQED
-
-
Qin, H.1
-
17
-
-
79961190330
-
Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design
-
V. Saripalli et al. Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design. NanoArch, 2011.
-
(2011)
NanoArch
-
-
Saripalli, V.1
-
18
-
-
84865573799
-
Leakage power, it's worse than you think
-
L. Shifren. Leakage power, it's worse than you think. In EE Times, 2011.
-
(2011)
EE Times
-
-
Shifren, L.1
-
19
-
-
79955910113
-
MorphCache: A reconfigurable adaptive multi-level cache hierarchy
-
S. Srikantaiah et al. MorphCache: A reconfigurable adaptive multi-level cache hierarchy. In HPCA, 2011.
-
(2011)
HPCA
-
-
Srikantaiah, S.1
-
20
-
-
80052737701
-
Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores
-
K. Swaminathan et al. Improving energy efficiency of multi-threaded applications using heterogeneous CMOS-TFET multicores. In ISLPED, 2011.
-
(2011)
ISLPED
-
-
Swaminathan, K.1
-
21
-
-
48049102005
-
-
Synopsys Release: C-2009.06
-
Synopsys. TCAD Sentaurus Device Manual, Release: C-2009.06, 2009.
-
(2009)
TCAD Sentaurus Device Manual
-
-
-
22
-
-
52949144932
-
VOSCH: Voltage scaled cache hierarchies
-
W. Wong et al. VOSCH: Voltage scaled cache hierarchies. In ICCD, 2007.
-
(2007)
ICCD
-
-
Wong, W.1
-
23
-
-
34548830136
-
A sub-200mV 6T-SRAM in 0.13um CMOS
-
B. Zhai et al. A sub-200mV 6T-SRAM in 0.13um CMOS. In ISSCC, 2007.
-
(2007)
ISSCC
-
-
Zhai, B.1
|