-
1
-
-
0037803443
-
Lightweight floating-point arithmetic: Case study of inverse discrete cosine transform
-
Fang, F., Chen, T., and Rutenbar, R. A., "Lightweight floating-point arithmetic: case study of inverse discrete cosine transform," EURASIP J. Appl. Signal Process. , 879-892 (2002).
-
(2002)
EURASIP J. Appl. Signal Process.
, pp. 879-892
-
-
Fang, F.1
Chen, T.2
Rutenbar, R.A.3
-
2
-
-
0031594012
-
Pipeline gating: Speculation control for energy reduction
-
Manne, S., Klauser, A., and Grunwald, D., "Pipeline gating: speculation control for energy reduction," SIGARCH Comput. Archit. News 26(3), 132-141 (1998).
-
(1998)
SIGARCH Comput. Archit. News
, vol.26
, Issue.3
, pp. 132-141
-
-
Manne, S.1
Klauser, A.2
Grunwald, D.3
-
3
-
-
34548815939
-
Sub-1V, robust and compact 6T SRAM cell in double gate MOS technology
-
Thomas, O., Reyboz, M., and Belleville, M., "Sub-1V, robust and compact 6T SRAM cell in double gate MOS technology," IEEE International Symposium on Circuits and Systems , 2778-2781 (2007).
-
(2007)
IEEE International Symposium on Circuits and Systems
, pp. 2778-2781
-
-
Thomas, O.1
Reyboz, M.2
Belleville, M.3
-
4
-
-
28144454581
-
A 3-Ghz 70MB SRAM in 65nm CMOS technology with integrated column-based dynamic power supply
-
Zhang, K., Bhattacharya, U., Chen, Z., Hamzaoglu, F., Murray, D., Vallepalli, N., Wang, Y., Zheng, B., and Bohr, M., "A 3-Ghz 70MB SRAM in 65nm CMOS technology with integrated column-based dynamic power supply," IEEE International Solid-State Circuits Conference , 474-611 Vol. 1 (2005).
-
(2005)
IEEE International Solid-State Circuits Conference
, vol.1
, pp. 474-611
-
-
Zhang, K.1
Bhattacharya, U.2
Chen, Z.3
Hamzaoglu, F.4
Murray, D.5
Vallepalli, N.6
Wang, Y.7
Zheng, B.8
Bohr, M.9
-
5
-
-
52649108802
-
Trading off cache capacity for reliability to enable low voltage operation
-
Wilkerson, C., Gao, H., Alameldeen, A. R., Chishti, Z., Khellah, M., and Lu, S.-L., "Trading off cache capacity for reliability to enable low voltage operation," SIGARCH Comput. Archit. News 36(3), 203-214 (2008).
-
(2008)
SIGARCH Comput. Archit. News
, vol.36
, Issue.3
, pp. 203-214
-
-
Wilkerson, C.1
Gao, H.2
Alameldeen, A.R.3
Chishti, Z.4
Khellah, M.5
Lu, S.-L.6
-
6
-
-
51949119232
-
PVT-variations and supply-noise tolerant 45nm dense cache arrays with diffusion-notch-free (DNF) 6T SRAM cells and dynamic multi-vcc circuits
-
Khellah, M., Kim, N. S., Ye, Y., Somasekhar, D., Karnik, T., Borkar, N., Hamzaoglu, F., Coan, T., Wang, Y., Zhang, K., Webb, C., and De, V., "PVT-variations and supply-noise tolerant 45nm dense cache arrays with diffusion-notch-free (DNF) 6T SRAM cells and dynamic multi-vcc circuits," IEEE Symposium on VLSI Circuits, 2008 , 48-49 (2008).
-
(2008)
IEEE Symposium on VLSI Circuits, 2008
, pp. 48-49
-
-
Khellah, M.1
Kim, N.S.2
Ye, Y.3
Somasekhar, D.4
Karnik, T.5
Borkar, N.6
Hamzaoglu, F.7
Coan, T.8
Wang, Y.9
Zhang, K.10
Webb, C.11
De, V.12
-
7
-
-
0018021595
-
Multiple word/bit line redundancy for semiconductor memories
-
Schuster, S., "Multiple word/bit line redundancy for semiconductor memories," IEEE Journal of Solid-State Circuits 13(5), 698-703 (1978).
-
(1978)
IEEE Journal of Solid-State Circuits
, vol.13
, Issue.5
, pp. 698-703
-
-
Schuster, S.1
-
8
-
-
56749136206
-
Accurate estimation of SRAM dynamic stability
-
Khalil, D., Khellah, M., Kim, N.-S., Ismail, Y., Karnik, T., and De, V., "Accurate estimation of SRAM dynamic stability," IEEE Transactions on Very Large Scale Integration Systems 16(12), 1639-1647 (2008).
-
(2008)
IEEE Transactions on Very Large Scale Integration Systems
, vol.16
, Issue.12
, pp. 1639-1647
-
-
Khalil, D.1
Khellah, M.2
Kim, N.-S.3
Ismail, Y.4
Karnik, T.5
De, V.6
-
10
-
-
78650830156
-
-
http://www.itrs.net/links/2009ITRS/Home2009.htm, "ITRS 2009 edition."
-
ITRS 2009 Edition
-
-
-
11
-
-
70350743268
-
A voltage-scalable & process variation resilient hybrid SRAM architecture for MPEG-4 video processors
-
ACM
-
Chang, I. J., Mohapatra, D., and Roy, K., "A voltage-scalable & process variation resilient hybrid SRAM architecture for MPEG-4 video processors," in [Design Automation Conference], 670-675, ACM (2009).
-
(2009)
Design Automation Conference
, pp. 670-675
-
-
Chang, I.J.1
Mohapatra, D.2
Roy, K.3
-
12
-
-
64549114898
-
Accuracyaware SRAM: A reconfigurable low power SRAM architecture for mobile multimedia applications
-
Cho, M., Schlessman, J., Wolf, W., and Mukhopadhyay, S., "Accuracyaware SRAM: a reconfigurable low power SRAM architecture for mobile multimedia applications," in [Asia and South Pacific Design Automation Conference], 823-828 (2009).
-
(2009)
Asia and South Pacific Design Automation Conference
, pp. 823-828
-
-
Cho, M.1
Schlessman, J.2
Wolf, W.3
Mukhopadhyay, S.4
-
13
-
-
47249154292
-
Delay and area efficient first-level cache soft error detection and correction
-
Mohr, K. and Clark, L., "Delay and area efficient first-level cache soft error detection and correction," in [International Conference on Computer Design], 88-92 (2006).
-
(2006)
International Conference on Computer Design
, pp. 88-92
-
-
Mohr, K.1
Clark, L.2
-
14
-
-
48149090437
-
Low-leakage SRAM design with dual Vt transistors
-
Amelifard, B., Pedram, M., and Fallah, F., "Low-leakage SRAM design with dual Vt transistors," in [International Symposium on Quality Electronic Design], 729-734 (2006).
-
(2006)
International Symposium on Quality Electronic Design
, pp. 729-734
-
-
Amelifard, B.1
Pedram, M.2
Fallah, F.3
-
15
-
-
14844286881
-
CoolPression - A hybrid significance compression technique for reducing energy in caches
-
Ghosh, M., Shi, W., and Lee, H.-H., "CoolPression - a hybrid significance compression technique for reducing energy in caches," in [SOC Conference], 399-402 (2004).
-
(2004)
SOC Conference
, pp. 399-402
-
-
Ghosh, M.1
Shi, W.2
Lee, H.-H.3
-
16
-
-
0034460898
-
Very low power pipelines using significance compression, in
-
Canal, R., González, A., and Smith, J. E., "Very low power pipelines using significance compression," in [ACM/IEEE international symposium on Microarchitecture], 181-190 (2000).
-
(2000)
ACM/IEEE International Symposium on Microarchitecture
, pp. 181-190
-
-
Canal, R.1
González, A.2
Smith, J.E.3
|