메뉴 건너뛰기




Volumn , Issue , 2005, Pages 171-182

Address-indexed memory disambiguation and store-to-load forwarding

Author keywords

[No Author keywords available]

Indexed keywords

LOAD/STORE QUEUE (LSQ); MEMORY DISAMBIGUATION TABLE (MDT); STORE-FORWARDING CACHE (SFC);

EID: 33749388925     PISSN: 10724451     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1109/MICRO.2005.10     Document Type: Conference Paper
Times cited : (22)

References (26)
  • 1
    • 33749410645 scopus 로고    scopus 로고
    • Processor having multiple program counters and trace buffers outside an execution pipeline. U.S. Patent Number 6,182,210
    • H. Akkary and K. Chow. Processor having multiple program counters and trace buffers outside an execution pipeline, 2001. U.S. Patent Number 6,182,210.
    • (2001)
    • Akkary, H.1    Chow, K.2
  • 2
    • 84944392430 scopus 로고    scopus 로고
    • Checkpoint processing and recovery: Towards scalable large instruction window processors
    • H. Akkary, R. Rajwar, and S. T. Srinivasan. Checkpoint processing and recovery: Towards scalable large instruction window processors. In MICRO 36, page 423, 2003.
    • (2003) MICRO 36 , pp. 423
    • Akkary, H.1    Rajwar, R.2    Srinivasan, S.T.3
  • 4
    • 4644289583 scopus 로고    scopus 로고
    • Memory ordering: A value-based approach
    • H. W. Cain and M. H. Lipasti. Memory ordering: A value-based approach. In ISCA-31, pages 90-101, 2004.
    • (2004) ISCA-31 , pp. 90-101
    • Cain, H.W.1    Lipasti, M.H.2
  • 6
    • 33749422280 scopus 로고    scopus 로고
    • System for store forwarding assigning load and store instructions to groups and reorder queues to keep track of program order. U.S. Patent Number 6,349,382
    • K. A. Feiste, B. J. Ronchetti, and D. J. Shippy. System for store forwarding assigning load and store instructions to groups and reorder queues to keep track of program order, 2002. U.S. Patent Number 6,349,382.
    • (2002)
    • Feiste, K.A.1    Ronchetti, B.J.2    Shippy, D.J.3
  • 8
    • 0026865603 scopus 로고
    • The expandable split window paradigm for exploiting fine-grain parallelism
    • Gold Coast, Australia, May
    • M. Franklin and G. S. Sohi. The expandable split window paradigm for exploiting fine-grain parallelism. In 19th International Symposium on Computer Architecture (ISCA-19), pages 58-67, Gold Coast, Australia, May 1992.
    • (1992) 19th International Symposium on Computer Architecture (ISCA-19) , pp. 58-67
    • Franklin, M.1    Sohi, G.S.2
  • 9
    • 0007997616 scopus 로고    scopus 로고
    • ARB: A hardware mechanism for dynamic reordering of memory references
    • May
    • M. Franklin and G. S. Sohi. ARB: A hardware mechanism for dynamic reordering of memory references. IEEE Trans. Comput., 45(5):552-571, May 1996.
    • (1996) IEEE Trans. Comput. , vol.45 , Issue.5 , pp. 552-571
    • Franklin, M.1    Sohi, G.S.2
  • 11
    • 27544509382 scopus 로고    scopus 로고
    • Scalable load and store processing in latency tolerant processors
    • A. Gandhi, H. Akkary, R. Rajwar, S. T. Srinivasan, and K. Lai. Scalable load and store processing in latency tolerant processors. In ISCA 32, pages 446-457, 2005.
    • (2005) ISCA 32 , pp. 446-457
    • Gandhi, A.1    Akkary, H.2    Rajwar, R.3    Srinivasan, S.T.4    Lai, K.5
  • 13
    • 0032315402 scopus 로고    scopus 로고
    • A novel renaming scheme to exploit value temporal locality through physical register reuse and unification
    • S. Jourdan, R. Ronen, M. Bekerman, B. Shomar, and A. Yoaz. A novel renaming scheme to exploit value temporal locality through physical register reuse and unification. In MICRO-31, pages 216-225, 1998.
    • (1998) MICRO-31 , pp. 216-225
    • Jourdan, S.1    Ronen, R.2    Bekerman, M.3    Shomar, B.4    Yoaz, A.5
  • 15
    • 0030685021 scopus 로고    scopus 로고
    • The alpha 21264: A 500 MHz out-of-order execution microprocessor
    • D. Leibholz and R. Razdan. The Alpha 21264: A 500 MHz out-of-order execution microprocessor. In IEEE COMPCON 42, 1997.
    • (1997) IEEE COMPCON 42
    • Leibholz, D.1    Razdan, R.2
  • 16
    • 0030717767 scopus 로고    scopus 로고
    • Dynamic speculation and synchronization of data dependences
    • A. Moshovos, S. E. Breach, T. N. Vijaykumar, and G. S. Sohi. Dynamic speculation and synchronization of data dependences. In ISCA-24, pages 181-193, 1997.
    • (1997) ISCA-24 , pp. 181-193
    • Moshovos, A.1    Breach, S.E.2    Vijaykumar, T.N.3    Sohi, G.S.4
  • 17
    • 27544453565 scopus 로고    scopus 로고
    • Streamlining inter-operation memory communication via data dependence prediction
    • A. Moshovos and G. S. Sohi. Streamlining inter-operation memory communication via data dependence prediction. In MICRO-30, 1997.
    • (1997) MICRO-30
    • Moshovos, A.1    Sohi, G.S.2
  • 18
    • 0033357302 scopus 로고    scopus 로고
    • Dynamic memory disambiguation in the presence of out-of-order store issuing
    • S. Onder and R. Gupta. Dynamic memory disambiguation in the presence of out-of-order store issuing. In MICRO-32, pages 170-176, 1999.
    • (1999) MICRO-32 , pp. 170-176
    • Onder, S.1    Gupta, R.2
  • 19
    • 84944398264 scopus 로고    scopus 로고
    • Reducing design complexity of the load/store queue
    • I. Park, C. L. Ooi, and T. N. Vijaykumar. Reducing design complexity of the load/store queue. In MICRO 36, pages 411-422, 2003.
    • (2003) MICRO 36 , pp. 411-422
    • Park, I.1    Ooi, C.L.2    Vijaykumar, T.N.3
  • 20
    • 84976737350 scopus 로고
    • Implementing atomic actions on decentralized data
    • Feb.
    • D. P. Reed. Implementing atomic actions on decentralized data. ACM Trans. Comput. Syst., 1(1):3-23, Feb. 1983.
    • (1983) ACM Trans. Comput. Syst. , vol.1 , Issue.1 , pp. 3-23
    • Reed, D.P.1
  • 21
    • 27544514377 scopus 로고    scopus 로고
    • Store vulnerability window (SVW): Re-execution filtering for enhanced load optimization
    • A. Roth. Store vulnerability window (SVW): Re-execution filtering for enhanced load optimization. In ISCA 32, pages 458-468, 2005.
    • (2005) ISCA , vol.32 , pp. 458-468
    • Roth, A.1
  • 22
    • 84944387421 scopus 로고    scopus 로고
    • Scalable hardware memory disambiguation for high ilp processors
    • S. Sethumadhavan, R. Desikan, D. Burger, C. R. Moore, and S. W. Keckler. Scalable hardware memory disambiguation for high ilp processors. In MICRO 36, pages 399-410, 2003.
    • (2003) MICRO , vol.36 , pp. 399-410
    • Sethumadhavan, S.1    Desikan, R.2    Burger, D.3    Moore, C.R.4    Keckler, S.W.5
  • 24
    • 27544459744 scopus 로고    scopus 로고
    • Store buffer design in first-level multibanked data caches
    • E. F. Torres, P. Ibanez, V. Vinals, and J. M. Llaberia. Store buffer design in first-level multibanked data caches. In ISCA 32, pages 469-480, 2005.
    • (2005) ISCA , vol.32 , pp. 469-480
    • Torres, E.F.1    Ibanez, P.2    Vinals, V.3    Llaberia, J.M.4
  • 25
    • 0031356687 scopus 로고    scopus 로고
    • Improving the accuracy and performance of memory communication through renaming
    • G. S. Tyson and T. M. Austin. Improving the accuracy and performance of memory communication through renaming. In MICRO-30, 1997.
    • (1997) MICRO-30
    • Tyson, G.S.1    Austin, T.M.2
  • 26
    • 0030129806 scopus 로고    scopus 로고
    • The MIPS R10000 superscalar microprocessor
    • K. C. Yeager. The MIPS R10000 superscalar microprocessor. IEEE Micro, 16(2):28-40, 1996.
    • (1996) IEEE Micro , vol.16 , Issue.2 , pp. 28-40
    • Yeager, K.C.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.