-
1
-
-
0030382364
-
Parallel programming with Polaris
-
W. Blume R. Doallo R. Eigenmann J. Grout J. Hoeflinger T. Lawrence J. Lee D. Padua Y. Paek B. Pottenger L. Rauchwerger P. Tu Parallel programming with Polaris IEEE Computer 29 12 78 82 December 1996
-
(1996)
IEEE Computer
, vol.29
, Issue.12
, pp. 78-82
-
-
Blume, W.1
Doallo, R.2
Eigenmann, R.3
Grout, J.4
Hoeflinger, J.5
Lawrence, T.6
Lee, J.7
Padua, D.8
Paek, Y.9
Pottenger, B.10
Rauchwerger, L.11
Tu, P.12
-
2
-
-
85176679463
-
A superscalar Alpha processor with out-of-order execution
-
A superscalar Alpha processor with out-of-order execution October 1996 21264
-
(1996)
-
-
-
3
-
-
0029182726
-
Single-program speculative multithreading (SPSM) architecture: Compiler-assisted fine-grained multithreading
-
P. Dubey K. O'Brien K. O'Brien C. Barton Single-program speculative multithreading (SPSM) architecture: Compiler-assisted fine-grained multithreading Proceedings of the IFIP WG 10.3 Working Conference on Parallel Architectures and Compilation Techniques, PACT '95 109 121 Proceedings of the IFIP WG 10.3 Working Conference on Parallel Architectures and Compilation Techniques, PACT '95 1995-June
-
(1995)
, pp. 109-121
-
-
Dubey, P.1
O'Brien, K.2
O'Brien, K.3
Barton, C.4
-
4
-
-
0007997616
-
ARB: A hardware mechanism for dynamic memory disambiguation
-
M. Franklin G. Sohi ARB: A hardware mechanism for dynamic memory disambiguation IEEE Transactions on Computers 45 5 552 571 May 1996
-
(1996)
IEEE Transactions on Computers
, vol.45
, Issue.5
, pp. 552-571
-
-
Franklin, M.1
Sohi, G.2
-
5
-
-
0030380793
-
Maximizing multiprocessor performance with the SUIF compiler
-
M. Hall J. Anderson S. Amarasinghe B. Murphy S.-W. Liao E. Bugnion M. Lam Maximizing multiprocessor performance with the SUIF compiler IEEE Computer 29 12 84 89 December 1996
-
(1996)
IEEE Computer
, vol.29
, Issue.12
, pp. 84-89
-
-
Hall, M.1
Anderson, J.2
Amarasinghe, S.3
Murphy, B.4
Liao, S.-W.5
Bugnion, E.6
Lam, M.7
-
6
-
-
85176684228
-
Efficient use of processing transistors for larger on-chip storage: Multithreading
-
V. Krishnan J. Torrellas Efficient use of processing transistors for larger on-chip storage: Multithreading Workshop on Mixing Logic and DRAM: Chips that Compute and Remember Workshop on Mixing Logic and DRAM: Chips that Compute and Remember 1997-June
-
(1997)
-
-
Krishnan, V.1
Torrellas, J.2
-
7
-
-
84892159657
-
Executing sequential binaries on a clustered multithreaded architecture with speculation support
-
V. Krishnan J. Torrellas Executing sequential binaries on a clustered multithreaded architecture with speculation support 4th High Performance Computer Architecture (HPCA) Workshop on Multi-Threaded Execution, Architecture and Compilation (MTEAC'98) 4th High Performance Computer Architecture (HPCA) Workshop on Multi-Threaded Execution, Architecture and Compilation (MTEAC'98) 1998-February
-
(1998)
-
-
Krishnan, V.1
Torrellas, J.2
-
8
-
-
0025429467
-
The directory-based cache coherence protocol for the DASH multiprocessor
-
D. Lenoski J. Laudon K. Gharachorloo A. Gupta J. Hennessy The directory-based cache coherence protocol for the DASH multiprocessor 17th International Symposium on Computer Architecture 148 159 17th International Symposium on Computer Architecture 1990-May
-
(1990)
, pp. 148-159
-
-
Lenoski, D.1
Laudon, J.2
Gharachorloo, K.3
Gupta, A.4
Hennessy, J.5
-
10
-
-
0003979521
-
Portable Programs for Parallel Processors
-
Holt, Rinehart and Winston Inc. New York
-
E. Lusk Portable Programs for Parallel Processors 1987 Holt, Rinehart and Winston Inc. New York
-
(1987)
-
-
Lusk, E.1
-
11
-
-
2842571467
-
The case for a single-chip multiprocessor
-
K. Olukotun B. Nayfeh L. Hammond K. Wilson K. Chang The case for a single-chip multiprocessor 7th International Conference on Architectural Support for Programming Languages and Operating Systems 2 11 7th International Conference on Architectural Support for Programming Languages and Operating Systems 1996-October
-
(1996)
, pp. 2-11
-
-
Olukotun, K.1
Nayfeh, B.2
Hammond, L.3
Wilson, K.4
Chang, K.5
-
12
-
-
0030676681
-
Complexity-effective superscalar processors
-
S. Palacharla N. Jouppi J. Smith Complexity-effective superscalar processors 24th International Symposium on Computer Architecture 206 218 24th International Symposium on Computer Architecture 1997-June
-
(1997)
, pp. 206-218
-
-
Palacharla, S.1
Jouppi, N.2
Smith, J.3
-
13
-
-
0003869390
-
Trace cache: A low latency approach to high bandwidth instruction fetching
-
E. Rotenberg S. Bennett J. Smith Trace cache: A low latency approach to high bandwidth instruction fetching 29th International Symposium on Microarchitecture 29th International Symposium on Microarchitecture 1996-December
-
(1996)
-
-
Rotenberg, E.1
Bennett, S.2
Smith, J.3
-
14
-
-
0029178210
-
Multiscalar processors
-
G. Sohi S. Breach T. Vijayakumar Multiscalar processors 22nd International Symposium on Computer Architecture 414 425 22nd International Symposium on Computer Architecture 1995-June
-
(1995)
, pp. 414-425
-
-
Sohi, G.1
Breach, S.2
Vijayakumar, T.3
-
15
-
-
0029727822
-
The superthreaded architecture: Thread pipelining with run-time data dependence checking and control speculation
-
J. Tsai P. Yew The superthreaded architecture: Thread pipelining with run-time data dependence checking and control speculation Proceedings of International Conference on Parallel Architectures and Compilation Techniques (PACT '96) 35 46 Proceedings of International Conference on Parallel Architectures and Compilation Techniques (PACT '96) 1996-October
-
(1996)
, pp. 35-46
-
-
Tsai, J.1
Yew, P.2
-
16
-
-
0029666641
-
Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor
-
D. Tullsen S. Eggers J. Emer H. Levy J. Lo R. Stamm Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor 23rd International Symposium on Computer Architecture 191 202 23rd International Symposium on Computer Architecture 1996-May
-
(1996)
, pp. 191-202
-
-
Tullsen, D.1
Eggers, S.2
Emer, J.3
Levy, H.4
Lo, J.5
Stamm, R.6
-
17
-
-
0029200683
-
Simultaneous multithreading: Maximizing on-chip parallelism
-
D. Tullsen S. Eggers H. Levy Simultaneous multithreading: Maximizing on-chip parallelism 22nd International Symposium on Computer Architecture 392 403 22nd International Symposium on Computer Architecture 1995-June
-
(1995)
, pp. 392-403
-
-
Tullsen, D.1
Eggers, S.2
Levy, H.3
-
18
-
-
0028016738
-
MINT: A front end for efficient simulation of shared-memory multiprocessors
-
J. Veenstra R. Fowler MINT: A front end for efficient simulation of shared-memory multiprocessors Proceedings of the Second International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS'94) 201 207 Proceedings of the Second International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS'94) 1994-January
-
(1994)
, pp. 201-207
-
-
Veenstra, J.1
Fowler, R.2
-
19
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
S. Woo M. Ohara E. Torrie J. Singh A. Gupta The SPLASH-2 programs: Characterization and methodological considerations 22nd International Symposium on Computer Architecture 24 36 22nd International Symposium on Computer Architecture 1995-June
-
(1995)
, pp. 24-36
-
-
Woo, S.1
Ohara, M.2
Torrie, E.3
Singh, J.4
Gupta, A.5
|