메뉴 건너뛰기




Volumn 15, Issue 8, 1996, Pages 923-942

Automation of 1c layout with analog constraints

Author keywords

[No Author keywords available]

Indexed keywords

AUTOMATION; COMPUTER AIDED DESIGN; CONSTRAINT THEORY; ELECTRIC WIRING; INDUSTRIAL APPLICATIONS; OPTIMIZATION; PERFORMANCE; SENSITIVITY ANALYSIS;

EID: 0030214354     PISSN: 02780070     EISSN: None     Source Type: Journal    
DOI: 10.1109/43.511572     Document Type: Article
Times cited : (139)

References (52)
  • 1
  • 2
    • 11244293822 scopus 로고    scopus 로고
    • Operational amplifier compilation with performance optimization
    • May 1989
    • H. Onodera, H. Kanbara, and K. Tamaru, "Operational amplifier compilation with performance optimization,"in Proc. IEEE CICC, May 1989, pp. 17.4.1-17.4.6.
    • Proc. IEEE CICC , pp. 1741-1746
    • Onodera, H.1    Kanbara, H.2    Tamaru, K.3
  • 5
    • 33747994753 scopus 로고
    • A switched-capacitor filter compiler
    • Sept.
    • Y. Therasse, L. Reynders, R. Lannoo, and B. Dupont, "A switched-capacitor filter compiler,"VLSI Syst. Des., vol. 8, no. 10, pp. 85-88, Sept. 1987.
    • (1987) VLSI Syst. Des. , vol.8 , Issue.10 , pp. 85-88
    • Therasse, Y.1    Reynders, L.2    Lannoo, R.3    Dupont, B.4
  • 6
    • 0005387493 scopus 로고
    • A switcheri-capaciror filter silicon compiler
    • Feb.
    • .1. Assael, P. Senn. and M. S. Tawfik, "A switcheri-capaciror filter silicon compiler," 1EEEJ. Solid-State Circuits, vol. 23, pp. 166-174, Feb. 1988.
    • (1988) 1EEEJ. Solid-State Circuits , vol.23 , pp. 166-174
    • Senn, A.P.1    Tawfik, M.S.2
  • 7
    • 0022603355 scopus 로고    scopus 로고
    • A silicon compiler for successive approximation A/D and D/A converters
    • P. E. Alien and P. R. Barton. "A silicon compiler for successive approximation A/D and D/A converters,"in Proc. IEEE CICC, 1986, pp. 552-555.
    • Proc. IEEE CICC, 1986 , pp. 552-555
    • Alien, P.E.1    Barton, P.R.2
  • 10
    • 84869441603 scopus 로고
    • Analogue macrocell assembler,' VLSI Syst. des
    • May
    • G. Winner etui., "Analogue macrocell assembler,' VLSI Syst. Des., vol. 8, no. 5, pp. 68-71, May 1987.
    • (1987) Etui. , vol.8 , Issue.5 , pp. 68-71
    • Winner, G.1
  • 12
    • 0022706706 scopus 로고
    • High-performance designs with CMOS analogue standard cells
    • Apr.
    • T. Pletersek et al., "High-performance designs with CMOS analogue standard cells," 1EEEJ. Solid-Slate Circuits, vol. 21, pp. 215-222, Apr. 1986.
    • (1986) 1EEEJ. Solid-Slate Circuits , vol.21 , pp. 215-222
    • Pletersek, T.1
  • 13
    • 0024645861 scopus 로고
    • A CMOS-based analog standard cell product family
    • Apr.
    • L. D. Smith etal., "A CMOS-based analog standard cell product family,"IEEEJ. Solid-State Circuits, vol. 24, pp. 370-379, Apr. 1989.
    • (1989) IEEEJ. Solid-State Circuits , vol.24 , pp. 370-379
    • Smith, L.D.1
  • 15
    • 0024681330 scopus 로고
    • Toward an analog system design environment
    • June
    • [15[ M. G. R. Degrauwe et al, "Toward an analog system design environment," IEEE J. Solid State Circuits, vol. 24, pp. 659-671. June 1989.
    • (1989) IEEE J. Solid State Circuits , vol.24 , pp. 659-671
    • Degrauwe, M.G.R.1
  • 16
  • 18
    • 0347525614 scopus 로고
    • BLADES: An A. I. approach to analog circuit design
    • June
    • F. M. Turky and E. E. Perry, "BLADES: An A. I. approach to analog circuit design,"IEEE Trans. Computer-Aided Design, vol. 8, pp. 680-692, June 1989.
    • (1989) IEEE Trans. Computer-Aided Design , vol.8 , pp. 680-692
    • Turky, F.M.1    Perry, E.E.2
  • 19
    • 0024646662 scopus 로고
    • A generalized approach to routing mixed analog and digital signal nets in a channel
    • Apr.
    • R. S. Gyurcsik and J.-C. Jeen, "A generalized approach to routing mixed analog and digital signal nets in a channel,"IEEEJ. Solid-State Circuits, vol. 24, pp. 436-442, Apr. 1989.
    • (1989) IEEEJ. Solid-State Circuits , vol.24 , pp. 436-442
    • Gyurcsik, R.S.1    Jeen, J.-C.2
  • 20
    • 0025545977 scopus 로고    scopus 로고
    • A routing system for mixed A/D standard cell LSI's
    • Nov. 1990
    • I. Harada, H. Kitazawa, and T. Kaneko, "A routing system for mixed A/D standard cell LSI's,"in Croc. IEEE ICCAD, Nov. 1990, pp. 378-381.
    • Croc. IEEE ICCAD , pp. 378-381
    • Harada, I.1    Kitazawa, H.2    Kaneko, T.3
  • 21
    • 0026117896 scopus 로고
    • A technology-independent approach to custom analog cell generation
    • Mar.
    • S. W. Mehranfar, "A technology-independent approach to custom analog cell generation,"IEEEJ. Solid-State Circuits, vol. 26, pp. 386-393, Mar. 1991.
    • (1991) IEEEJ. Solid-State Circuits , vol.26 , pp. 386-393
    • Mehranfar, S.W.1
  • 22
    • 0026118974 scopus 로고
    • KOAN/ANAORAM II: New tools for device-level analog placement and routing
    • Mar.
    • J. M. Cohn, D. 1. Garrod, R. A. Rutenbar, and L. R. Carley, "KOAN/ANAORAM II: New tools for device-level analog placement and routing,"IEEE J. Solid-Stale Circuits, vol. 26, pp. 330-342, Mar. 1991.
    • (1991) IEEE J. Solid-Stale Circuits , vol.26 , pp. 330-342
    • Cohn, J.M.1    Garrod, D.2    Rutenbar, R.A.3    Carley, L.R.4
  • 23
    • 0024902637 scopus 로고    scopus 로고
    • An efficient algorithm for layout compaction problem with symmetry constraints
    • Nov. 1989
    • R. Okuda, T. Sato, H. Onodera, and K. Tamaru, "An efficient algorithm for layout compaction problem with symmetry constraints."in Proc. IEEE ICC AD, Nov. 1989, pp. 148-151.
    • Proc. IEEE ICC AD , pp. 148-151
    • Okuda, R.1    Sato, T.2    Onodera, H.3    Tamaru, K.4
  • 24
    • 0025532052 scopus 로고    scopus 로고
    • Constraint-based channel routing for analog and mixed-analog digital circuits
    • Nov. 1990
    • U. Choudhtiry and A. Sangiovanni-Vincentelli, "Constraint-based channel routing for analog and mixed-analog digital circuits,"in Proc. IEEE ICCAD, Nov. 1990, pp. 198-201.
    • Proc. IEEE ICCAD , pp. 198-201
    • Choudhtiry, U.1    Sangiovanni-Vincentelli, A.2
  • 34
    • 0029220994 scopus 로고
    • Optimum CMOS stack generation with analog constraints
    • Jan.
    • E. Malavasi and Ü. Pandini, "Optimum CMOS stack generation with analog constraints,"IEEE Trans. Computer Aided Design, vol. 14, pp. 107-122, Jan. 1995.
    • (1995) IEEE Trans. Computer Aided Design , vol.14 , pp. 107-122
    • Malavasi, E.1    Pandini, Ü.2
  • 36
    • 4244208485 scopus 로고
    • Performance optimization of integrated circuits
    • Univ. California, Berkeley. CA
    • J.-M. Shyu, "Performance optimization of integrated circuits," Univ. California, Berkeley. CA, Memo. UCB/BRL M88/74, Nov. 1988.
    • (1988) Memo. UCB/BRL M88/74, Nov.
    • Shyu, J.-M.1
  • 37
    • 0000208736 scopus 로고
    • The generalized adjoint network and network sensitivities
    • Aug.
    • S. W. Director and R. A. Rohrer, "The generalized adjoint network and network sensitivities,"IEEE Trans. Circuit Theory1, vol. 16. pp. 318-323. Aug. 1969.
    • (1969) IEEE Trans. Circuit Theory1 , vol.16 , pp. 318-323
    • Director, S.W.1    Rohrer, R.A.2
  • 42
  • 43
    • 0027876719 scopus 로고    scopus 로고
    • Latchup-aware placement and parasitic-bounded routing of custom analog cells
    • Nov. 1993
    • R. Basaran, R. A. Rutenbar, and L. R. Carley, "Latchup-aware placement and parasitic-bounded routing of custom analog cells,"in Proc. IEEE ICCAD, Nov. 1993, pp. 415-421.
    • Proc. IEEE ICCAD , pp. 415-421
    • Basaran, R.1    Rutenbar, R.A.2    Carley, L.R.3
  • 44
    • 0028016997 scopus 로고    scopus 로고
    • DORIC: Design of optimal and robust integrated circuits
    • May 1994
    • Z. Daoud and C. J. Spanos, "DORIC: Design of optimal and robust integrated circuits,"in Proc. IEEE CICC, May 1994, pp. 361-364.
    • Proc. IEEE CICC , pp. 361-364
    • Daoud, Z.1    Spanos, C.J.2
  • 46
    • 0024142707 scopus 로고    scopus 로고
    • Chip-planning, placement and global routing of macro/custom cell IC's using simulated annealing
    • June 1988
    • C. Sechen and A. Sangiovanni-Vincentelli, "Chip-planning, placement and global routing of macro/custom cell IC's using simulated annealing,"in Proc. IEEE/ACM DAC, June 1988, pp. 73-80.
    • Proc. IEEE/ACM DAC , pp. 73-80
    • Sechen, C.1    Sangiovanni-Vincentelli, A.2
  • 47
    • 0039643423 scopus 로고    scopus 로고
    • Channel routing
    • T. Ohtsuki, Ed. Amsterdam, The Netherlands: North Holland, 1986, ch. 1
    • M. Burstein, "Channel routing,"in Layout Design and Verification, T. Ohtsuki, Ed. Amsterdam, The Netherlands: North Holland, 1986, ch. 1, pp. 133-167.
    • Layout Design and Verification , pp. 133-167
    • Burstein, M.1
  • 48
    • 0021177495 scopus 로고
    • A global routing algorithm for general cells
    • G. W. Clow, "A global routing algorithm for general cells,"in Proc. IEEE/ACM DAC, 1984, pp. 45-51.
    • (1984) Proc. IEEE/ACM DAC , pp. 45-51
    • Clow, G.W.1
  • 51
    • 0003212510 scopus 로고
    • Analog module generators for silicon compilation
    • May
    • J. Kühn, "Analog module generators for silicon compilation,"VLSI Syst. Des., vol. 8, no. 5, pp. 74-80, May 1987.
    • (1987) VLSI Syst. Des. , vol.8 , Issue.5 , pp. 74-80
    • Kühn, J.1
  • 52
    • 0026406873 scopus 로고
    • An analytical-model generator for interconnect capacitances
    • May
    • U. Choudhury and A. Sangiovanni-Vincentelli, "An analytical-model generator for interconnect capacitances,"in Proc. IEEE CICC, May 1991, pp. 861-864.
    • (1991) Proc. IEEE CICC , pp. 861-864
    • Choudhury, U.1    Sangiovanni-Vincentelli, A.2


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.