메뉴 건너뛰기




Volumn , Issue , 2006, Pages 135-144

Implementing virtual memory in a vector processor with software restart markers

Author keywords

Exception handling; Vector processors

Indexed keywords

CONTEXT SWITCH; EXCEPTION HANDLING; VECTOR PROCESSORS;

EID: 34547478253     PISSN: None     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.1145/1183401.1183422     Document Type: Conference Paper
Times cited : (9)

References (31)
  • 1
    • 84858108284 scopus 로고    scopus 로고
    • home
    • Trimaran homepage. http://www.trimaran.org.
  • 2
    • 0009616548 scopus 로고    scopus 로고
    • PhD thesis, University of California at Berkeley, May
    • K. Asanović. Vector Microprocessors. PhD thesis, University of California at Berkeley, May 1998.
    • (1998) Vector Microprocessors
    • Asanović, K.1
  • 3
    • 2942705347 scopus 로고
    • Sentinel scheduling with recovery blocks
    • Technical Report CRHC-95-05, Center for Reliable and High-Performance Computing, University of Illinois at Urbana-Champaign, January
    • D. I. August et al. Sentinel scheduling with recovery blocks. Technical Report CRHC-95-05, Center for Reliable and High-Performance Computing, University of Illinois at Urbana-Champaign, January 1995.
    • (1995)
    • August, D.I.1
  • 4
    • 85022153860 scopus 로고
    • Software prefetching and caching for translation lookaside buffers
    • November
    • K. Bala et al. Software prefetching and caching for translation lookaside buffers. In OSDI-1, November 1994.
    • (1994) OSDI-1
    • Bala, K.1
  • 5
    • 34547447571 scopus 로고    scopus 로고
    • Inc. Cray launches X1 for extreme supercomputing, November
    • D. H. Brown Associates, Inc. Cray launches X1 for extreme supercomputing, November 2002.
    • (2002)
    • Brown Associates, D.H.1
  • 6
    • 0022990940 scopus 로고
    • The IBM System/370 vector architecture
    • W. Buchholz. The IBM System/370 vector architecture. IBM Systems Journal, 25(1), 1986.
    • (1986) IBM Systems Journal , vol.25 , Issue.1
    • Buchholz, W.1
  • 7
    • 34547403550 scopus 로고
    • Exception reporting mechanism for a vector processor
    • U.S. Patent 5,043,867, August
    • DEC. Exception reporting mechanism for a vector processor. U.S. Patent 5,043,867, August 1991.
    • (1991)
  • 8
    • 0003654391 scopus 로고    scopus 로고
    • Out-of-order vector architectures
    • December
    • R. Espasa et al. Out-of-order vector architectures. In MICRO-30, December 1997.
    • (1997) MICRO-30
    • Espasa, R.1
  • 9
    • 0036292604 scopus 로고    scopus 로고
    • Tarantula: A vector extension to the Alpha architecture
    • May
    • R. Espasa et al. Tarantula: a vector extension to the Alpha architecture. In ISCA-29, May 2002.
    • (2002) ISCA-29
    • Espasa, R.1
  • 10
    • 0029700927 scopus 로고    scopus 로고
    • Decoupled vector architectures
    • February
    • R. Espasa and M. Valero. Decoupled vector architectures. In HPCA-2, February 1996.
    • (1996) HPCA-2
    • Espasa, R.1    Valero, M.2
  • 11
    • 34547410261 scopus 로고
    • Region-based compilation: An introduction and motivation
    • December
    • R. E. Hank et al. Region-based compilation: an introduction and motivation. In MICRO-28, December 1995.
    • (1995) MICRO-28
    • Hank, R.E.1
  • 13
    • 0023169554 scopus 로고
    • Checkpoint repair for out-of-order execution machines
    • June
    • W. W. Hwu and Y. N. Patt. Checkpoint repair for out-of-order execution machines. In ISCA-14, June 1987.
    • (1987) ISCA-14
    • Hwu, W.W.1    Patt, Y.N.2
  • 14
    • 0031611442 scopus 로고    scopus 로고
    • A look at several memory management units, TLB-refill mechanisms, and page table organizations
    • October
    • B. L. Jacob and T. N. Mudge. A look at several memory management units, TLB-refill mechanisms, and page table organizations. In ASPLOS-8, October 1998.
    • (1998) ASPLOS-8
    • Jacob, B.L.1    Mudge, T.N.2
  • 16
    • 0037257253 scopus 로고    scopus 로고
    • A hardware overview of SX-6 and SX-7 supercomputer
    • January
    • K. Kitagawa, et al. A hardware overview of SX-6 and SX-7 supercomputer. NEC Research & Development Journal, 44(1), January 2003.
    • (2003) NEC Research & Development Journal , vol.44 , Issue.1
    • Kitagawa, K.1
  • 19
    • 0038684220 scopus 로고    scopus 로고
    • Overcoming the limitations of conventional vector processors
    • June
    • C. Kozyrakis and D. Patterson. Overcoming the limitations of conventional vector processors. In ISCA-30, June 2003.
    • (2003) ISCA-30
    • Kozyrakis, C.1    Patterson, D.2
  • 20
    • 4644337990 scopus 로고    scopus 로고
    • The vector-thread architecture
    • June
    • R. Krashinsky et al. The vector-thread architecture. In ISCA-31, June 2004.
    • (2004) ISCA-31
    • Krashinsky, R.1
  • 21
    • 33749373820 scopus 로고    scopus 로고
    • Exploiting vector parallelism in software pipelined loops
    • November
    • S. Larsen et al. Exploiting vector parallelism in software pipelined loops. In MICRO-38, November 2005.
    • (2005) MICRO-38
    • Larsen, S.1
  • 22
    • 0142222258 scopus 로고    scopus 로고
    • Simple vector microprocessors for multimedia applications
    • C. G. Lee and M. G. Stoodley. Simple vector microprocessors for multimedia applications. In MICRO-31, 1998.
    • (1998) MICRO-31
    • Lee, C.G.1    Stoodley, M.G.2
  • 23
    • 0026918386 scopus 로고
    • Sentinel scheduling for VLIW and superscalar processors
    • October
    • S. A. Mahlke et al. Sentinel scheduling for VLIW and superscalar processors. In ASPLOS-5, October 1992.
    • (1992) ASPLOS-5
    • Mahlke, S.A.1
  • 24
    • 0001944474 scopus 로고    scopus 로고
    • Precise interrupts
    • February
    • M. Moudgill and S. Vassiliadis. Precise interrupts. IEEE Micro, 16(1), February 1996.
    • (1996) IEEE Micro , vol.16 , Issue.1
    • Moudgill, M.1    Vassiliadis, S.2
  • 25
    • 0022874874 scopus 로고
    • Advanced compiler optimizations for supercomputers
    • D. A. Padua, and M. J. Wolfe. Advanced compiler optimizations for supercomputers. CACM, 29(12), 1986.
    • (1986) CACM , vol.29 , Issue.12
    • Padua, D.A.1    Wolfe, M.J.2
  • 26
    • 0032690373 scopus 로고    scopus 로고
    • Adding a vector unit to a superscalar processor
    • June
    • F. Quintana, J. Corbal, R. Espasa, and M. Valero. Adding a vector unit to a superscalar processor. In ICS-13, June 1999.
    • (1999) ICS-13
    • Quintana, F.1    Corbal, J.2    Espasa, R.3    Valero, M.4
  • 27
    • 0017922490 scopus 로고
    • The Cray-1 computer system
    • R. M. Russell. The Cray-1 computer system. CACM, 21(1), 1978.
    • (1978) CACM , vol.21 , Issue.1
    • Russell, R.M.1
  • 29
    • 0028492372 scopus 로고
    • Design tradeoffs for software-managed TLBs
    • August
    • R. Uhlig et al. Design tradeoffs for software-managed TLBs. A CM Transactions on Computer Systems, 12(3), August 1994.
    • (1994) A CM Transactions on Computer Systems , vol.12 , Issue.3
    • Uhlig, R.1
  • 30
    • 34547429916 scopus 로고
    • Architecture of the VPP500 parallel supercomputer
    • November
    • T. Utsumi et al. Architecture of the VPP500 parallel supercomputer. In ICS-8, November 1994.
    • (1994) ICS-8
    • Utsumi, T.1
  • 31
    • 84976692695 scopus 로고
    • SUIF: An infrastructure for research on parallelizing and optimizing compilers
    • December
    • R. P. Wilson et al. SUIF: An infrastructure for research on parallelizing and optimizing compilers. ACM SIGPLAN Notices, 29(12), December 1994.
    • (1994) ACM SIGPLAN Notices , vol.29 , Issue.12
    • Wilson, R.P.1


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.