-
1
-
-
84865343203
-
The scientific method of choosing model fidelity
-
New York, NY, USA. ACM
-
M. P. Bailey and W. G. Kemple. The Scientific Method of Choosing Model Fidelity. In Proceedings of the 24th Conference on Winter Simulation, pages 791-797, New York, NY, USA, 1992. ACM.
-
(1992)
Proceedings of the 24th Conference on Winter Simulation
, pp. 791-797
-
-
Bailey, M.P.1
Kemple, W.G.2
-
5
-
-
0030402384
-
Reducing state loss for effective trace sampling of superscalar processors
-
T. Conte, M. Ann, H. Kishore, and N. Menezes. Reducing State Loss For Effective Trace Sampling of Superscalar Processors. In Proceedings of the 1996 International Conference on Computer Design, pages 468-477, 1996.
-
(1996)
Proceedings of the 1996 International Conference on Computer Design
, pp. 468-477
-
-
Conte, T.1
Ann, M.2
Kishore, H.3
Menezes, N.4
-
7
-
-
78751529494
-
Selecting a reduced but representative workload
-
L. Eeckhout, A. Georges, and K. D. Bosschere. Selecting a Reduced but Representative Workload. In Middleware Benchmarking: Approaches, Results, Experiences. OOSPLA workshop, 2003.
-
(2003)
Middleware Benchmarking: Approaches, Results, Experiences. OOSPLA Workshop
-
-
Eeckhout, L.1
Georges, A.2
Bosschere, K.D.3
-
8
-
-
0242577987
-
Statistical simulation: Adding efficiency to the computer designer's toolbox.
-
L. Eeckhout, S. Nussbaum, J. E. Smith, and K. D. Bosschere. Statistical Simulation: Adding Efficiency to the Computer Designer's Toolbox. IEEE Micro, 23:26-38, 2003.
-
(2003)
IEEE Micro
, vol.23
, pp. 26-38
-
-
Eeckhout, L.1
Nussbaum, S.2
Smith, J.E.3
Bosschere, K.D.4
-
10
-
-
0029358651
-
SPEC as a performance evaluation measure
-
R. Giladi and N. Ahituv. SPEC as a Performance Evaluation Measure. Computer, 28(8):33-42, 1995.
-
(1995)
Computer
, vol.28
, Issue.8
, pp. 33-42
-
-
Giladi, R.1
Ahituv, N.2
-
11
-
-
2942744016
-
-
Technical report, Charlottesville, VA, USA
-
J. Haskins, K. Skadron, A. KleinOsowski, and D. J. Lilja. Techniques for Accurate, Accelerated Processor Simulation: Analysis of Reduced Inputs and Sampling. Technical report, Charlottesville, VA, USA, 2002.
-
(2002)
Techniques for Accurate, Accelerated Processor Simulation: Analysis of Reduced Inputs and Sampling
-
-
Haskins, J.1
Skadron, K.2
Kleinosowski, A.3
Lilja, D.J.4
-
13
-
-
56449113963
-
Measuring benchmark similarity using inherent program characteristics
-
A. Joshi, A. Phansalkar, L. Eeckhout, and L. K. John. Measuring Benchmark Similarity Using Inherent Program Characteristics. IEEE Transactions on Computers, 28(8):33-42, 1995.
-
(1995)
IEEE Transactions on Computers
, vol.28
, Issue.8
, pp. 33-42
-
-
Joshi, A.1
Phansalkar, A.2
Eeckhout, L.3
John, L.K.4
-
14
-
-
85008031236
-
MinneSPEC: A new SPEC benchmark workload for simulation-based computer architecture research
-
A. KleinOsowski and D. J. Lilja. MinneSPEC: A New SPEC Benchmark Workload for Simulation-Based Computer Architecture Research. Computer Architecture Letters, 1, 2002.
-
(2002)
Computer Architecture Letters
, vol.1
-
-
Kleinosowski, A.1
Lilja, D.J.2
-
15
-
-
0037986990
-
The 0-1 knapsack problem with multiple-choice constraints.
-
R. M. Nauss. The 0-1 Knapsack Problem with Multiple-Choice Constraints. European Journal of Operations Research, 2(2):125-131, 1978.
-
(1978)
European Journal of Operations Research
, vol.2
, Issue.2
, pp. 125-131
-
-
Nauss, R.M.1
-
16
-
-
0035177240
-
Modeling superscalar processors via statistical simulation
-
Washington, DC, USA. IEEE Computer Society
-
S. Nussbaum and J. E. Smith. Modeling Superscalar Processors via Statistical Simulation. In Proceedings of the 2001 International Conference on Parallel Architectures and Compilation Techniques, pages 15-24, Washington, DC, USA, 2001. IEEE Computer Society.
-
(2001)
Proceedings of the 2001 International Conference on Parallel Architectures and Compilation Techniques
, pp. 15-24
-
-
Nussbaum, S.1
Smith, J.E.2
-
17
-
-
52949090653
-
Statistical simulation of symmetric multiprocessor systems
-
Washington, DC, USA. IEEE Computer Society
-
S. Nussbaum and J. E. Smith. Statistical Simulation of Symmetric Multiprocessor Systems. In Proceedings of the 35th Annual Simulation Symposium, page 89, Washington, DC, USA, 2002. IEEE Computer Society.
-
(2002)
Proceedings of the 35th Annual Simulation Symposium
, pp. 89
-
-
Nussbaum, S.1
Smith, J.E.2
-
18
-
-
35348913704
-
Analysis of redundancy and application balance in the SPEC CPU2006 benchmark suite
-
NewYork,NY,USA. ACM
-
A. Phansalkar, A. Joshi, and L. K. John. Analysis of Redundancy and Application Balance in the SPEC CPU2006 Benchmark Suite. In ISCA '07: Proceedings of the 34th Annual International Symposium on Computer Architecture, pages 412-423,NewYork,NY,USA, 2007.ACM.
-
(2007)
ISCA '07: Proceedings of the 34th Annual International Symposium on Computer Architecture
, pp. 412-423
-
-
Phansalkar, A.1
Joshi, A.2
John, L.K.3
-
19
-
-
0037897397
-
A minimal algorithm for the multiple-choice knapsack problem
-
D. Pisinger. A Minimal Algorithm for the Multiple-Choice Knapsack Problem. European Journal of Operational Research, 83:394-410, 1994.
-
(1994)
European Journal of Operational Research
, vol.83
, pp. 394-410
-
-
Pisinger, D.1
-
20
-
-
0036953769
-
Automatically characterizing large-scale program behavior
-
New York, NY, USA. ACM
-
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder. Automatically Characterizing Large-Scale Program Behavior. In Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems, pages 45-57, New York, NY, USA, 2002. ACM.
-
(2002)
Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems
, pp. 45-57
-
-
Sherwood, T.1
Perelman, E.2
Hamerly, G.3
Calder, B.4
-
21
-
-
0001088168
-
The multiple-choice knapsack problem
-
P. Sinha and A. A. Zoltners. The Multiple-Choice Knapsack Problem. Operations Research, 27(3):503-515, 1979.
-
(1979)
Operations Research
, vol.27
, Issue.3
, pp. 503-515
-
-
Sinha, P.1
Zoltners, A.A.2
-
22
-
-
84962727365
-
SPEClite: Using representative samples to reduce SPEC CPU2000 workload
-
Washington, DC, USA. IEEE Computer Society
-
R. Todi. SPEClite: Using Representative Samples to Reduce SPEC CPU2000 Workload. In Proceedings of the 2001 IEEE International Workshop of Workload Characterization, pages 15-23, Washington, DC, USA, 2001. IEEE Computer Society.
-
(2001)
Proceedings of the 2001 IEEE International Workshop of Workload Characterization
, pp. 15-23
-
-
Todi, R.1
-
24
-
-
0029179077
-
The SPLASH-2 programs: Characterization and methodological considerations
-
June
-
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. The SPLASH-2 Programs: Characterization and Methodological Considerations. In Proceedings of the 22nd International Symposium on Computer Architecture, pages 24-36, June 1995.
-
(1995)
Proceedings of the 22nd International Symposium on Computer Architecture
, pp. 24-36
-
-
Woo, S.C.1
Ohara, M.2
Torrie, E.3
Singh, J.P.4
Gupta, A.5
-
25
-
-
0038346244
-
SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling
-
R. E. Wunderlich, T. F. Wenisch, B. Falsafi, and J. C. Hoe. SMARTS: Accelerating Microarchitecture Simulation via Rigorous Statistical Sampling. In Proceedings of the 30th Annual International Symposium on Computer Architecture, pages 84-97, 2003.
-
(2003)
Proceedings of the 30th Annual International Symposium on Computer Architecture
, pp. 84-97
-
-
Wunderlich, R.E.1
Wenisch, T.F.2
Falsafi, B.3
Hoe, J.C.4
-
26
-
-
28444474809
-
Characterizing and comparing prevailing simulation techniques
-
Washington, DC, USA. IEEE Computer Society
-
J. J. Yi, S. V. Kodakara, R. Sendag, D. J. Lilja, and D. M. Hawkins. Characterizing and Comparing Prevailing Simulation Techniques. In Proceedings of the 11th International Symposium on High-Performance Computer Architecture, pages 266-277, Washington, DC, USA, 2005. IEEE Computer Society.
-
(2005)
Proceedings of the 11th International Symposium on High-Performance Computer Architecture
, pp. 266-277
-
-
Yi, J.J.1
Kodakara, S.V.2
Sendag, R.3
Lilja, D.J.4
Hawkins, D.M.5
|