메뉴 건너뛰기




Volumn 2018-January, Issue , 2018, Pages 1441-1446

Leveraging thermally-aware chiplet organization in 2.5D systems to reclaim dark silicon

Author keywords

[No Author keywords available]

Indexed keywords

COSTS; MANUFACTURE; SILICON;

EID: 85048772713     PISSN: None     EISSN: None     Source Type: Conference Proceeding    
DOI: 10.23919/DATE.2018.8342238     Document Type: Conference Paper
Times cited : (17)

References (36)
  • 1
    • 84903210981 scopus 로고    scopus 로고
    • The EDA challenges in the dark silicon era:temperature, reliability, and variability perspectives
    • M. Shafique et al., "The EDA challenges in the dark silicon era:temperature, reliability, and variability perspectives, " in Proc. DAC, 2014, pp. 1-6.
    • (2014) Proc. DAC , pp. 1-6
    • Shafique, M.1
  • 2
    • 84958178546 scopus 로고    scopus 로고
    • Hierarchical power management for asymmetric multi-core in dark silicon era
    • T. Muthukaruppan et al., "Hierarchical power management for asymmetric multi-core in dark silicon era, " in Proc. DAC, 2013, pp. 1-9.
    • (2013) Proc. DAC , pp. 1-9
    • Muthukaruppan, T.1
  • 3
    • 84858776502 scopus 로고    scopus 로고
    • Qscores: Trading dark silicon for scalable energy efficiency with quasi-specific cores
    • G. Venkatesh et al., "Qscores: Trading dark silicon for scalable energy efficiency with quasi-specific cores, " in Proc. MICRO, 2011, pp. 163-174.
    • (2011) Proc. MICRO , pp. 163-174
    • Venkatesh, G.1
  • 4
    • 85027382545 scopus 로고    scopus 로고
    • Voltage island management in near threshold manycore architectures to mitigate dark silicon
    • C. Silvano et al., "Voltage island management in near threshold manycore architectures to mitigate dark silicon, " in Proc. DATE, 2014, p. 201.
    • (2014) Proc. DATE , pp. 201
    • Silvano, C.1
  • 5
    • 84883335440 scopus 로고    scopus 로고
    • Approximate computing: An emerging paradigm for energyefficient design
    • J. Han et al., "Approximate computing: An emerging paradigm for energyefficient design, " in Proc. ETS. IEEE, 2013, pp. 1-6.
    • (2013) Proc. ETS. IEEE , pp. 1-6
    • Han, J.1
  • 6
    • 84910646869 scopus 로고    scopus 로고
    • TSP: Thermal safe power: Efficient power budgeting for many-core systems in dark silicon
    • S. Pagani et al., "TSP: thermal safe power: efficient power budgeting for many-core systems in dark silicon, " in Proc. CODES+ISSS, 2014, p. 10.
    • (2014) Proc. CODES+ISSS , pp. 10
    • Pagani, S.1
  • 7
    • 84889605902 scopus 로고    scopus 로고
    • Computational sprinting
    • A. Raghavan et al., "Computational sprinting, " in Proc. HPCA, 2012, pp. 1-12.
    • (2012) Proc. HPCA , pp. 1-12
    • Raghavan, A.1
  • 8
    • 34548359365 scopus 로고    scopus 로고
    • Processor design in 3D die-stacking technologies
    • G. H. Loh, Y. Xie, and B. Black, "Processor design in 3D die-stacking technologies, " IEEE Micro, vol. 27, no. 3, 2007.
    • (2007) IEEE Micro , vol.27 , Issue.3
    • Loh, G.H.1    Xie, Y.2    Black, B.3
  • 9
    • 84959895721 scopus 로고    scopus 로고
    • Enabling interposer-based disintegration of multi-core processors
    • A. Kannan et al., "Enabling interposer-based disintegration of multi-core processors, " in Proc. MICRO, 2015, pp. 546-558.
    • (2015) Proc. MICRO , pp. 546-558
    • Kannan, A.1
  • 10
    • 85048753367 scopus 로고    scopus 로고
    • Cost analysis and cost-driven IP reuse methodology for SoC design based on 2. 5D/3D integration
    • D. Stow et al., "Cost analysis and cost-driven IP reuse methodology for SoC design based on 2. 5D/3D integration, " in Proc. ICCAD, 2016, p. 56.
    • (2016) Proc. ICCAD , pp. 56
    • Stow, D.1
  • 11
    • 85048761536 scopus 로고    scopus 로고
    • "DARPA CHIPS, " http://www. darpa. mil/news-events/2016-07-19, 2016.
    • (2016) DARPA CHIPS
  • 12
    • 84995444622 scopus 로고    scopus 로고
    • Photonic interconnects for interposer-based 2. 5D / 3D integrated systems on a chip
    • P. Grani et al., "Photonic interconnects for interposer-based 2. 5D / 3D integrated systems on a chip, " in Proc. MEMSYS, 2016, pp. 377-386.
    • (2016) Proc. MEMSYS , pp. 377-386
    • Grani, P.1
  • 13
    • 84902449562 scopus 로고    scopus 로고
    • High density 3D silicon interposer technology development and electrical characterization for high end applications
    • J. Charbonnier et al., "High density 3D silicon interposer technology development and electrical characterization for high end applications, " in Proc. ESTC, 2012, pp. 1-7.
    • (2012) Proc. ESTC , pp. 1-7
    • Charbonnier, J.1
  • 14
    • 84866883347 scopus 로고    scopus 로고
    • Assembly and reliability challenges in 3D integration of 28nm FPGA die on a large high density 65nm passive interposer
    • R. Chaware, K. Nagarajan, and S. Ramalingam, "Assembly and reliability challenges in 3D integration of 28nm FPGA die on a large high density 65nm passive interposer, " in Proc. ECTC, 2012, pp. 279-283.
    • (2012) Proc. ECTC , pp. 279-283
    • Chaware, R.1    Nagarajan, K.2    Ramalingam, S.3
  • 15
    • 84886688297 scopus 로고    scopus 로고
    • Thermal-aware floorplanning using genetic algorithms
    • W.-L. Hung et al., "Thermal-aware floorplanning using genetic algorithms, " in Proc. ISQED, 2005, pp. 634-639.
    • (2005) Proc. ISQED , pp. 634-639
    • Hung, W.-L.1
  • 16
    • 33846219890 scopus 로고    scopus 로고
    • Multiobjective microarchitectural floorplanning for 2D and 3D ICs
    • M. Healy et al., "Multiobjective microarchitectural floorplanning for 2D and 3D ICs, " IEEE TCAD, vol. 26, no. 1, pp. 38-52, 2007.
    • (2007) IEEE TCAD , vol.26 , Issue.1 , pp. 38-52
    • Healy, M.1
  • 17
    • 77954518620 scopus 로고    scopus 로고
    • Thermal-aware floorplanning exploration for 3D multicore architectures
    • D. Cuesta et al., "Thermal-aware floorplanning exploration for 3D multicore architectures, " in Proc. GLSVLSI, 2010, pp. 99-102.
    • (2010) Proc. GLSVLSI , pp. 99-102
    • Cuesta, D.1
  • 18
    • 84859748416 scopus 로고    scopus 로고
    • 3D IC floorplanning: Automating optimization settings and exploring new thermal-aware management techniques
    • F. Frantz, L. Labrak, and I. O'Connor, "3D IC floorplanning: Automating optimization settings and exploring new thermal-aware management techniques, " Microelectronics Journal, vol. 43, no. 6, pp. 423-432, 2012.
    • (2012) Microelectronics Journal , vol.43 , Issue.6 , pp. 423-432
    • Frantz, F.1    Labrak, L.2    O'Connor, I.3
  • 19
    • 78650922410 scopus 로고    scopus 로고
    • A 48-core IA-32 processor in 45 nm CMOS using ondie message-passing and DVFS for performance and power scaling
    • J. Howard et al., "A 48-core IA-32 processor in 45 nm CMOS using ondie message-passing and DVFS for performance and power scaling, " IEEE JSSC, vol. 46, no. 1, pp. 173-183, 2011.
    • (2011) IEEE JSSC , vol.46 , Issue.1 , pp. 173-183
    • Howard, J.1
  • 20
    • 84922496954 scopus 로고    scopus 로고
    • Thermal management of manycore systems with siliconphotonic networks
    • T. Zhang et al., "Thermal management of manycore systems with siliconphotonic networks, " in Proc. DATE, 2014, pp. 1-6.
    • (2014) Proc. DATE , pp. 1-6
    • Zhang, T.1
  • 21
    • 7244258739 scopus 로고    scopus 로고
    • Recent advances in flip-chip underfill: Materials, process, and reliability
    • Z. Zhang et al., "Recent advances in flip-chip underfill: materials, process, and reliability, " IEEE Trans. Adv. Pack., vol. 27, no. 3, pp. 515-524, 2004.
    • (2004) IEEE Trans. Adv. Pack. , vol.27 , Issue.3 , pp. 515-524
    • Zhang, Z.1
  • 22
    • 84862740379 scopus 로고    scopus 로고
    • DSENT-a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling
    • C. Sun et al., "DSENT-a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling, " in Proc. NOCS, 2012, pp. 201-210.
    • (2012) Proc. NOCS , pp. 201-210
    • Sun, C.1
  • 23
    • 84883442943 scopus 로고    scopus 로고
    • Power comparison of 2D, 3D and 2. 5D interconnect solutions and power optimization of interposer interconnects
    • M. A. Karim, P. D. Franzon, and A. Kumar, "Power comparison of 2D, 3D and 2. 5D interconnect solutions and power optimization of interposer interconnects, " in Proc. ECTC, 2013, pp. 860-866.
    • (2013) Proc. ECTC , pp. 860-866
    • Karim, M.A.1    Franzon, P.D.2    Kumar, A.3
  • 24
    • 83155173614 scopus 로고    scopus 로고
    • Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation
    • T. E. Carlson et al., "Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation, " in Proc. SC, 2011, p. 52.
    • (2011) Proc. SC , pp. 52
    • Carlson, T.E.1
  • 25
    • 85048827396 scopus 로고    scopus 로고
    • 3D technology for photonics silicon interposer
    • G. Parès, "3D technology for photonics silicon interposer, " in Green IT workshop-Leti Days, 2013.
    • (2013) Green IT Workshop-Leti Days
    • Parès, G.1
  • 27
    • 85010912846 scopus 로고    scopus 로고
    • Wafer and die bonding technologies for 3d integration
    • S. Farrens, "Wafer and die bonding technologies for 3d integration, " in Proc. MRS, 2008, pp. 1112-E01.
    • (2008) Proc. MRS , pp. 1112-1E01
    • Farrens, S.1
  • 29
    • 84907897437 scopus 로고    scopus 로고
    • Lithography challenges for 2. 5D interposer manufacturing
    • K. Ruhmer et al., "Lithography challenges for 2. 5D interposer manufacturing, " in Proc. ECTC, 2014, pp. 523-527.
    • (2014) Proc. ECTC , pp. 523-527
    • Ruhmer, K.1
  • 30
    • 0029179077 scopus 로고
    • The SPLASH-2 programs: Characterization and methodological considerations
    • S. C. Woo et al., "The SPLASH-2 programs: Characterization and methodological considerations, " in Proc. ISCA, 1995, pp. 24-36.
    • (1995) Proc. ISCA , pp. 24-36
    • Woo, S.C.1
  • 31
    • 63549095070 scopus 로고    scopus 로고
    • The PARSEC benchmark suite: Characterization and architectural implications
    • C. Bienia et al., "The PARSEC benchmark suite: characterization and architectural implications, " in Proc. PACT, 2008, pp. 72-81.
    • (2008) Proc. PACT , pp. 72-81
    • Bienia, C.1
  • 34
    • 76749146060 scopus 로고    scopus 로고
    • McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
    • S. Li et al., "McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures, " in Proc. MICRO, 2009, pp. 469-480.
    • (2009) Proc. MICRO , pp. 469-480
    • Li, S.1
  • 35
    • 84863550102 scopus 로고    scopus 로고
    • Optimizing energy efficiency of 3-D multicore systems with stacked dram under power and thermal constraints
    • J. Meng, K. Kawakami, and A. K. Coskun, "Optimizing energy efficiency of 3-D multicore systems with stacked dram under power and thermal constraints, " in Proc. DAC, 2012, pp. 648-655.
    • (2012) Proc. DAC , pp. 648-655
    • Meng, J.1    Kawakami, K.2    Coskun, A.K.3


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.