메뉴 건너뛰기




Volumn , Issue , 2017, Pages 155-188

Dependability solutions

Author keywords

[No Author keywords available]

Indexed keywords

NANOSTRUCTURED MATERIALS;

EID: 85036559711     PISSN: None     EISSN: None     Source Type: Book    
DOI: 10.1007/978-3-319-54422-9_5     Document Type: Chapter
Times cited : (2)

References (100)
  • 1
    • 84879873377 scopus 로고    scopus 로고
    • Quantitative evaluation of soft error injection techniques for robust system design
    • Proceeding of DAC'13, Austin, TX, USA
    • H. Cho, S. Mirkhani, C.-Y. Cher, J. Abraham, S. Mitra, Quantitative evaluation of soft error injection techniques for robust system design, in Proceeding of DAC'13, Austin, TX, USA
    • Cho, H.1    Mirkhani, S.2    Cher, C.-Y.3    Abraham, J.4    Mitra, S.5
  • 3
    • 0033726332 scopus 로고    scopus 로고
    • Transient fault detection via simultaneous multithreading
    • Proceedings of The 27th International Symposium on Computer Architecture, June
    • S.K. Reinhardt, S.S. Mukherjee, Transient fault detection via simultaneous multithreading, in Proceedings of The 27th International Symposium on Computer Architecture, June 2000
    • (2000)
    • Reinhardt, S.K.1    Mukherjee, S.S.2
  • 4
    • 0036287327 scopus 로고    scopus 로고
    • Detailed design and evaluation of redundant multithreading alternatives
    • ISCA
    • S.S.Mukherjee, M. Kontz, S.K. Reinhardt, Detailed design and evaluation of redundant multithreading alternatives, in ISCA, 2002
    • (2002)
    • Mukherjee, S.S.1    Kontz, M.2    Reinhardt, S.K.3
  • 5
    • 79952011278 scopus 로고    scopus 로고
    • Hypervisor-based virtual hardware for fault tolerance in COTS processors targeting space applications
    • Proceedings of International Symposium on Defect Fault Tolerance VLSI System
    • S. Campagna, M. Hussain, M. Violante, Hypervisor-based virtual hardware for fault tolerance in COTS processors targeting space applications, in Proceedings of International Symposium on Defect Fault Tolerance VLSI System, 2010, pp. 44-51
    • (2010) , pp. 44-51
    • Campagna, S.1    Hussain, M.2    Violante, M.3
  • 6
    • 79957579047 scopus 로고    scopus 로고
    • Architectures for online error detection and recovery in multicore processors
    • Proceedings of Design, Automation Test in Europe (DATE)
    • D. Gizopoulos et al., Architectures for online error detection and recovery in multicore processors, in Proceedings of Design, Automation Test in Europe (DATE), 2011, pp. 533-538
    • (2011) , pp. 533-538
    • Gizopoulos, D.1
  • 7
    • 28044460018 scopus 로고    scopus 로고
    • A higher order estimate of the optimum checkpoint interval for restart dumps
    • J.T. Daly, A higher order estimate of the optimum checkpoint interval for restart dumps. Future Gener. Comput. Syst. 22(3), 303-312 (2006)
    • (2006) Future Gener. Comput. Syst. , vol.22 , Issue.3 , pp. 303-312
    • Daly, J.T.1
  • 8
    • 83155184556 scopus 로고    scopus 로고
    • Checkpointing strategies for parallel jobs, in Supercomputing, SC '11
    • ACM, New York, NY, USA
    • M. Bougeret, H. Casanova, M. Rabie, Y. Robert, F. Vivien, Checkpointing strategies for parallel jobs, in Supercomputing, SC '11 (ACM, New York, NY, USA, 2011), pp. 1-11
    • (2011) , pp. 1-11
    • Bougeret, M.1    Casanova, H.2    Rabie, M.3    Robert, Y.4    Vivien, F.5
  • 9
    • 84899671615 scopus 로고    scopus 로고
    • ACR: automatic checkpoint/restart for soft and hard error protection
    • Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, Denver, Colorado, 17-21 November
    • X. Ni, E. Meneses, N. Jain, L.V. Kalé, ACR: automatic checkpoint/restart for soft and hard error protection, in Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, Denver, Colorado, 17-21 November 2013
    • (2013)
    • Ni, X.1    Meneses, E.2    Jain, N.3    Kalé, L.V.4
  • 10
    • 84899576169 scopus 로고    scopus 로고
    • Shadow computing: an energy-aware fault tolerant computing model
    • 2014 International Conference on Computing, Networking and Communications (ICNC), Honolulu, HI, 3-6 February
    • B. Mills, R. Melhem, Shadow computing: an energy-aware fault tolerant computing model, in 2014 International Conference on Computing, Networking and Communications (ICNC), Honolulu, HI, 3-6 February 2014
    • (2014)
    • Mills, B.1    Melhem, R.2
  • 11
    • 33947313321 scopus 로고    scopus 로고
    • A case for fault-tolerance and performance enhancement using chip multiprocessors
    • H. Zhou, A case for fault-tolerance and performance enhancement using chip multiprocessors. IEEE Comput. Archit. Lett. 5(1), 22-25 (2006)
    • (2006) IEEE Comput. Archit. Lett. , vol.5 , Issue.1 , pp. 22-25
    • Zhou, H.1
  • 12
    • 33644919336 scopus 로고    scopus 로고
    • Dual-core execution: building a highly scalable single-thread instruction window
    • PACT'05
    • H. Zhou, Dual-core execution: building a highly scalable single-thread instruction window, in PACT'05, 2005
    • (2005)
    • Zhou, H.1
  • 13
    • 0036922117 scopus 로고    scopus 로고
    • A portable and fault-tolerant microprocessor based on the SPARC v8 architecture
    • Proceedings of International Conference on Dependable Systems and Networks
    • J. Gaisler, A portable and fault-tolerant microprocessor based on the SPARC v8 architecture, in Proceedings of International Conference on Dependable Systems and Networks, 2002, pp. 409-415
    • (2002) , pp. 409-415
    • Gaisler, J.1
  • 14
    • 84962050596 scopus 로고    scopus 로고
    • An Embedded Microprocessor Radiation Hardened by Microarchitecture and Circuits
    • L.T. Clark, D.W. Patterson, C. Ramamurthy, K.E. Holbert, An Embedded Microprocessor Radiation Hardened by Microarchitecture and Circuits. IEEE Trans. Comput. 65(2), 382-395 (2016)
    • (2016) IEEE Trans. Comput. , vol.65 , Issue.2 , pp. 382-395
    • Clark, L.T.1    Patterson, D.W.2    Ramamurthy, C.3    Holbert, K.E.4
  • 15
    • 0033321638 scopus 로고    scopus 로고
    • DIVA: a reliable substrate for deep submicron microarchitecture design
    • MICRO
    • T.M. Austin, DIVA: a reliable substrate for deep submicron microarchitecture design, MICRO 1999
    • (1999)
    • Austin, T.M.1
  • 16
    • 84958165264 scopus 로고    scopus 로고
    • A Fault-Tolerant Processor Architecture
    • 22nd International Conference on Architecture of Computing Systems (ARCS) (Delft, The Netherlands, 11 March)
    • A. Bouajila, T. Sommer, J. Zeppenfeld, W. Stechele, A. Herkersdorf, A Fault-Tolerant Processor Architecture, in 22nd International Conference on Architecture of Computing Systems (ARCS) (Delft, The Netherlands, 11 March 2009), pp. 1-5
    • (2009) , pp. 1-5
    • Bouajila, A.1    Sommer, T.2    Zeppenfeld, J.3    Stechele, W.4    Herkersdorf, A.5
  • 17
    • 41349091201 scopus 로고    scopus 로고
    • Argus: low-cost, comprehensive error detection in simple cores, MICRO
    • A. Meixner, M.E. Bauer, D.J. Sorin, Argus: low-cost, comprehensive error detection in simple cores, MICRO (2007)
    • (2007)
    • Meixner, A.1    Bauer, M.E.2    Sorin, D.J.3
  • 18
    • 4644320531 scopus 로고    scopus 로고
    • Techniques to reduce the soft error rate of a high-performance microprocessor
    • Annual International Symposium on Computer Architecture
    • C. Weaver, J. Emer, S. Mukherjee, S.K. Reinhardt, Techniques to reduce the soft error rate of a high-performance microprocessor, in Annual International Symposium on Computer Architecture, 2004
    • (2004)
    • Weaver, C.1    Emer, J.2    Mukherjee, S.3    Reinhardt, S.K.4
  • 19
    • 33646829087 scopus 로고    scopus 로고
    • SWIFT: Software implemented fault tolerance
    • Proceedings of International Symposium on Code Generation Optimization
    • G. Reis, J. Chang, N. Vachharajani, R. Rangan and D. August, SWIFT: Software implemented fault tolerance, in Proceedings of International Symposium on Code Generation Optimization, 2005, pp. 243-254
    • (2005) , pp. 243-254
    • Reis, G.1    Chang, J.2    Vachharajani, N.3    Rangan, R.4    August, D.5
  • 20
    • 34249775197 scopus 로고    scopus 로고
    • Automatic instruction-level software only recovery method
    • G.A. Reis, J. Chang, D.I. August, Automatic instruction-level software only recovery method. IEEE Micro 27(1) (2007)
    • (2007) IEEE Micro , vol.27 , Issue.1
    • Reis, G.A.1    Chang, J.2    August, D.I.3
  • 23
    • 84964978136 scopus 로고    scopus 로고
    • Soft-error detection using control flow assertions
    • Proceedings of 18th IEEE International Symposium Defect and Fault Tolerance in VLSI Systems
    • O. Goloubeva, M. Rebaudengo, M.S. Reorda, M. Violante, Soft-error detection using control flow assertions, in Proceedings of 18th IEEE International Symposium Defect and Fault Tolerance in VLSI Systems, 2003, pp. 581-588
    • (2003) , pp. 581-588
    • Goloubeva, O.1    Rebaudengo, M.2    Reorda, M.S.3    Violante, M.4
  • 24
    • 81355162831 scopus 로고    scopus 로고
    • Low-cost on-line fault detection using control flow assertions
    • IOLTS'03: Proceedings of 12th IEEE International On-Line Testing Symposium
    • R. Venkatasubramanian, J.P. Hayes, B.T. Murray, Low-cost on-line fault detection using control flow assertions, in IOLTS'03: Proceedings of 12th IEEE International On-Line Testing Symposium, 2003, pp. 137-143
    • (2003) , pp. 137-143
    • Venkatasubramanian, R.1    Hayes, J.P.2    Murray, B.T.3
  • 25
    • 79961089469 scopus 로고    scopus 로고
    • Ceda: control-flow error detection using assertions
    • R. Vemu, J. Abraham, Ceda: control-flow error detection using assertions. IEEE Trans. on Comput 90(9), 1233-1245 (2011)
    • (2011) IEEE Trans. on Comput , vol.90 , Issue.9 , pp. 1233-1245
    • Vemu, R.1    Abraham, J.2
  • 27
    • 79951594806 scopus 로고    scopus 로고
    • MT-SBST: self-test optimization in multithreaded multicore architectures
    • Proceeding of IEEE Internationl Test Conference
    • N. Foutris, M. Psarakis, D. Gizopoulos, A. Apostolakis, X. Vera, A. Gonzalez, MT-SBST: self-test optimization in multithreaded multicore architectures, in Proceeding of IEEE Internationl Test Conference, 2010, pp. 1-10
    • (2010) , pp. 1-10
    • Foutris, N.1    Psarakis, M.2    Gizopoulos, D.3    Apostolakis, A.4    Vera, X.5    Gonzalez, A.6
  • 29
    • 0021392066 scopus 로고
    • Error-correcting codes for semiconductor memory applications: a state-of-the-art review
    • C.L. Chen, M.Y. Hsiao, Error-correcting codes for semiconductor memory applications: a state-of-the-art review. IBM J. Res. Dev. 28(2), 124-134 (1984)
    • (1984) IBM J. Res. Dev. , vol.28 , Issue.2 , pp. 124-134
    • Chen, C.L.1    Hsiao, M.Y.2
  • 30
    • 0003476270 scopus 로고    scopus 로고
    • Error control coding, 2nd edn.
    • Englewood Cliffs, New Jersey, Prentice-Hall
    • S. Lin, D.J. Costello, error control coding, 2nd edn. (Englewood Cliffs, New Jersey, Prentice-Hall, 2004)
    • (2004)
    • Lin, S.1    Costello, D.J.2
  • 31
    • 0020140022 scopus 로고
    • Convolutionally encoded memory protection
    • J.J. Metzner, Convolutionally encoded memory protection. IEEE Trans. Comput. 31(6), 547-551 (1983)
    • (1983) IEEE Trans. Comput. , vol.31 , Issue.6 , pp. 547-551
    • Metzner, J.J.1
  • 32
    • 0014823837 scopus 로고
    • A class of optimal minimum odd-weight column SEC-DED codes
    • M.Y. Hsiao, A class of optimal minimum odd-weight column SEC-DED codes. IBM J. Res. Dev. 14(4), 395-401 (1970)
    • (1970) IBM J. Res. Dev. , vol.14 , Issue.4 , pp. 395-401
    • Hsiao, M.Y.1
  • 33
    • 77954030094 scopus 로고    scopus 로고
    • Impact of scaling on neutron-induced soft error rate in SRAMs from a 250 nm to a 22 nm design rule
    • E. Ibe, H. Taniguchi, Y. Yahagi, K. Shimbo, T. Toba, Impact of scaling on neutron-induced soft error rate in SRAMs from a 250 nm to a 22 nm design rule. IEEE Trans. Electron Devices 57(7), 1527-1538 (2010)
    • (2010) IEEE Trans. Electron Devices , vol.57 , Issue.7 , pp. 1527-1538
    • Ibe, E.1    Taniguchi, H.2    Yahagi, Y.3    Shimbo, K.4    Toba, T.5
  • 34
    • 37549069366 scopus 로고    scopus 로고
    • Multiple bit upset tolerant memory using a selective cycle avoidance based SEC-DED-DAEC code
    • 25th IEEE VLSI Test Symposium
    • A. Dutta, N.A. Touba, Multiple bit upset tolerant memory using a selective cycle avoidance based SEC-DED-DAEC code, in 25th IEEE VLSI Test Symposium, 2007, pp. 349-354
    • (2007) , pp. 349-354
    • Dutta, A.1    Touba, N.A.2
  • 36
    • 34548090143 scopus 로고    scopus 로고
    • Models and algorithmic limits for an ECC-based approach to hardening sub-100-nm SRAMs
    • M.A. Bajura et al., Models and algorithmic limits for an ECC-based approach to hardening sub-100-nm SRAMs. IEEE Trans. Nucl. Sci. 54(4), 935-945 (2007)
    • (2007) IEEE Trans. Nucl. Sci. , vol.54 , Issue.4 , pp. 935-945
    • Bajura, M.A.1
  • 37
    • 85013779422 scopus 로고    scopus 로고
    • Architecture design for soft errors
    • Morgan Kaufmann
    • S. Mukherjee, Architecture design for soft errors (Morgan Kaufmann, 2008)
    • (2008)
    • Mukherjee, S.1
  • 38
    • 31344452990 scopus 로고    scopus 로고
    • The parity protected, multithreaded register files on the 90-nm Itanium microprocessor
    • E. Fetzer, D. Dahle, C. Little, K. Safford, The parity protected, multithreaded register files on the 90-nm Itanium microprocessor. IEEE J. Solid-State Circuits 41(1), 246-255 (2006)
    • (2006) IEEE J. Solid-State Circuits , vol.41 , Issue.1 , pp. 246-255
    • Fetzer, E.1    Dahle, D.2    Little, C.3    Safford, K.4
  • 39
    • 84868678998 scopus 로고    scopus 로고
    • Low-cost single error correction multiple adjacent error correction codes
    • P. Reviriego, S. Pontarelli, J.A. Maestro, M. Ottavi, Low-cost single error correction multiple adjacent error correction codes. IET Electron. Lett. 48(23), 1470-1472 (2012)
    • (2012) IET Electron. Lett. , vol.48 , Issue.23 , pp. 1470-1472
    • Reviriego, P.1    Pontarelli, S.2    Maestro, J.A.3    Ottavi, M.4
  • 41
    • 34748921576 scopus 로고    scopus 로고
    • Analysis of soft error mitigation techniques for register files in IBM Cu-08 90 nm technology
    • Proceeding of IEEE International Midwest Symposium Circuits and Systems
    • R. Naseer, R. Bhatt, J. Draper, Analysis of soft error mitigation techniques for register files in IBM Cu-08 90 nm technology, in Proceeding of IEEE International Midwest Symposium Circuits and Systems, 2006, pp. 515-519
    • (2006) , pp. 515-519
    • Naseer, R.1    Bhatt, R.2    Draper, J.3
  • 43
    • 84879047255 scopus 로고    scopus 로고
    • Enhanced duplication: a technique to correct soft errors in narrow values, IEEE Comput
    • I.B. Karsli, P. Reviriego, M.F. Balli, O. Ergin, J.A. Maestro, Enhanced duplication: a technique to correct soft errors in narrow values, IEEE Comput. Archit. Lett. 12(1), 13-16 (2013)
    • (2013) Archit. Lett. , vol.12 , Issue.1 , pp. 13-16
    • Karsli, I.B.1    Reviriego, P.2    Balli, M.F.3    Ergin, O.4    Maestro, J.A.5
  • 44
    • 36049000932 scopus 로고    scopus 로고
    • Using register lifetime predictions to protect register files against soft errors
    • Proceeding of Dependable Systems and Networks
    • P. Montesinos et al., Using register lifetime predictions to protect register files against soft errors, in Proceeding of Dependable Systems and Networks, 2007, pp. 286-296
    • (2007) , pp. 286-296
    • Montesinos, P.1
  • 45
    • 70350070719 scopus 로고    scopus 로고
    • Static analysis to mitigate soft errors in register files
    • Proceeding of Design, Automation and Test in Europe (DATE), April
    • J. Lee, A. Shrivastava, Static analysis to mitigate soft errors in register files, in Proceeding of Design, Automation and Test in Europe (DATE), April 2009, pp. 1367-1372
    • (2009) , pp. 1367-1372
    • Lee, J.1    Shrivastava, A.2
  • 46
    • 85008014183 scopus 로고    scopus 로고
    • A compiler-microarchitecture hybrid approach to soft error reduction for register files
    • J. Lee, A. Shrivastava, A compiler-microarchitecture hybrid approach to soft error reduction for register files. IEEE Trans. Comput. Aided Des. Integr. Circuits and Syst. 29(7), 1018- 1027 (2010)
    • (2010) IEEE Trans. Comput. Aided Des. Integr. Circuits and Syst. , vol.29 , Issue.7 , pp. 1018- 1027
    • Lee, J.1    Shrivastava, A.2
  • 47
    • 70449849505 scopus 로고    scopus 로고
    • An energy efficient circuit level technique to protect register file from MBUs and SETs in embedded processors
    • Proceeding of Dependable Systems and Networks
    • M. Fazeli, A. Namazi, S.G. Miremadi, An energy efficient circuit level technique to protect register file from MBUs and SETs in embedded processors, in Proceeding of Dependable Systems and Networks, 2009, pp. 195-204
    • (2009) , pp. 195-204
    • Fazeli, M.1    Namazi, A.2    Miremadi, S.G.3
  • 48
    • 84962050596 scopus 로고    scopus 로고
    • An embedded microprocessor radiation hardened by microarchitecture and circuits
    • L.T. Clark, D.W. Patterson, C. Ramamurthy, K.E. Holbert, An embedded microprocessor radiation hardened by microarchitecture and circuits. IEEE Trans. Comput. 65(2), 382-395 (2016)
    • (2016) IEEE Trans. Comput. , vol.65 , Issue.2 , pp. 382-395
    • Clark, L.T.1    Patterson, D.W.2    Ramamurthy, C.3    Holbert, K.E.4
  • 49
    • 47349100793 scopus 로고    scopus 로고
    • Multi-bit error tolerant caches using two-dimensional error coding
    • Proceeding of the 40th IEEE/ACM International Symposium on Microarchitecture (MICRO), December
    • J. Kim, N. Hardavellas, K. Mai, B. Falsafi, J.C. Hoe, Multi-bit error tolerant caches using two-dimensional error coding, in Proceeding of the 40th IEEE/ACM International Symposium on Microarchitecture (MICRO), December 2007
    • (2007)
    • Kim, J.1    Hardavellas, N.2    Mai, K.3    Falsafi, B.4    Hoe, J.C.5
  • 50
    • 1542300175 scopus 로고    scopus 로고
    • ICR: In-cache replication for enhancing data cache reliability
    • Proceeding of the International Conference on Dependable Systems and Networks (DSN), June
    • W. Zhang, S. Gurumurthi, M. Kandemir, A. Sivasubramaniam, ICR: In-cache replication for enhancing data cache reliability, in Proceeding of the International Conference on Dependable Systems and Networks (DSN), June 2003
    • (2003)
    • Zhang, W.1    Gurumurthi, S.2    Kandemir, M.3    Sivasubramaniam, A.4
  • 53
    • 70450225732 scopus 로고    scopus 로고
    • Memory mapped ECC: low-cost error protection for last level caches
    • Proceeding of the 36th Annual International Symposium on Computer Architecture (ISCA)
    • D. H. Yoon, M. Erez, Memory mapped ECC: low-cost error protection for last level caches, in Proceeding of the 36th Annual International Symposium on Computer Architecture (ISCA), 2009
    • (2009)
    • Yoon, D.H.1    Erez, M.2
  • 54
    • 84861806524 scopus 로고    scopus 로고
    • Efficient error detection in double error correction BCH codes for memory applications
    • P. Reviriego, C. Argyrides, J.A. Maestro, Efficient error detection in double error correction BCH codes for memory applications. Microelectron. Reliab. 52(7), 1528-1530 (2012)
    • (2012) Microelectron. Reliab. , vol.52 , Issue.7 , pp. 1528-1530
    • Reviriego, P.1    Argyrides, C.2    Maestro, J.A.3
  • 55
    • 77953091625 scopus 로고    scopus 로고
    • SimTag: exploiting tag bits similarity to improve the reliability of the data caches
    • Proceeding Design Automation and Test in Europe
    • J. Kim, S. Kim, Y. Lee, SimTag: exploiting tag bits similarity to improve the reliability of the data caches, in Proceeding Design Automation and Test in Europe, 2010
    • (2010)
    • Kim, J.1    Kim, S.2    Lee, Y.3
  • 56
    • 84859001498 scopus 로고    scopus 로고
    • Replicating tag entries for reliability enhancement in cache tag arrays
    • S. Wang, J. Hu, S.G. Ziavras, Replicating tag entries for reliability enhancement in cache tag arrays. IEEE Trans. Very Large Scale Integr. Syst. 20(4), 643-654 (2012)
    • (2012) IEEE Trans. Very Large Scale Integr. Syst. , vol.20 , Issue.4 , pp. 643-654
    • Wang, S.1    Hu, J.2    Ziavras, S.G.3
  • 59
    • 84877721508 scopus 로고    scopus 로고
    • A study of DRAM failures in the field
    • Proceeding of the International Conference on High Performance Computing, Networking, Storage and Analysis
    • V. Sridharan, D. Liberty, A study of DRAM failures in the field, in Proceeding of the International Conference on High Performance Computing, Networking, Storage and Analysis, 2012
    • (2012)
    • Sridharan, V.1    Liberty, D.2
  • 60
    • 70449657893 scopus 로고    scopus 로고
    • DRAM errors in the wild: a large-scale field study
    • Proceeding of ACM SIGMETRICS
    • B. Schroeder, E. Pinheiro, W-D. Weber, DRAM errors in the wild: a large-scale field study, in Proceeding of ACM SIGMETRICS, 2009
    • (2009)
    • Schroeder, B.1    Pinheiro, E.2    Weber, W.-D.3
  • 61
    • 84988258407 scopus 로고    scopus 로고
    • Radiation hard memory. Radiation testing of candidate memory devices for Laplace mission
    • CNES/ESA Radiation effects final presentation days, March
    • H. Schmidt, M. Hermann, K. Grürmann, F. Gliem, V. Ferlet-Cavrois, Radiation hard memory. Radiation testing of candidate memory devices for Laplace mission, CNES/ESA Radiation effects final presentation days, March 2015
    • (2015)
    • Schmidt, H.1    Hermann, M.2    Grürmann, K.3    Gliem, F.4    Ferlet-Cavrois, V.5
  • 62
    • 84934307456 scopus 로고    scopus 로고
    • Chipkill Memory
    • Technical Report
    • International Business Machines Corporation (IBM) "Chipkill Memory," http://www-05. ibm.com/hu/termekismertetok/xseries/dn/chipkill.pdf, Technical Report, 2012
    • (2012)
  • 63
    • 84899670484 scopus 로고    scopus 로고
    • Low-power, low-storage-overhead chipkill correct via multi-line error correction
    • Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis (SC)
    • X. Jian, H. Duwe, J. Sartori, V. Sridharan, R. Kumar, Low-power, low-storage-overhead chipkill correct via multi-line error correction, in Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis (SC), 2013
    • (2013)
    • Jian, X.1    Duwe, H.2    Sartori, J.3    Sridharan, V.4    Kumar, R.5
  • 64
    • 77649332312 scopus 로고    scopus 로고
    • Error correction codes for SEU and SEFI tolerant memory systems
    • 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, October
    • S. Pontarelli, G.C. Cardarilli, M. Re, A. Salsano, Error correction codes for SEU and SEFI tolerant memory systems, in 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, October 2009, pp. 425-430
    • (2009) , pp. 425-430
    • Pontarelli, S.1    Cardarilli, G.C.2    Re, M.3    Salsano, A.4
  • 65
    • 77952257218 scopus 로고    scopus 로고
    • Virtualized and flexible ECC for main memory
    • Proceeding of the International Symposium on Architectural Support for Programming Languages and Operating Systems
    • D.H. Yoon, M. Erez, Virtualized and flexible ECC for main memory, in Proceeding of the International Symposium on Architectural Support for Programming Languages and Operating Systems, 2010
    • (2010)
    • Yoon, D.H.1    Erez, M.2
  • 66
    • 84864832751 scopus 로고    scopus 로고
    • LOT-ECC: localized and tiered reliability mechanisms for commodity memory systems
    • Proceeding of the International Symposium on Computer Architecture
    • A.N. Udipi, N. Muralimanohar, R. Balsubramonian, A. Davis, N.P. Jouppi, LOT-ECC: localized and tiered reliability mechanisms for commodity memory systems, in Proceeding of the International Symposium on Computer Architecture, 2012
    • (2012)
    • Udipi, A.N.1    Muralimanohar, N.2    Balsubramonian, R.3    Davis, A.4    Jouppi, N.P.5
  • 67
    • 85119221480 scopus 로고    scopus 로고
    • Bamboo ECC: strong, safe, and flexible codes for reliable computer memory
    • Proceeding of the International Symposium on High Performance Computer Architecture
    • J. Kim, M. Sullivan, M. Erez, Bamboo ECC: strong, safe, and flexible codes for reliable computer memory, in Proceeding of the International Symposium on High Performance Computer Architecture, 2015
    • (2015)
    • Kim, J.1    Sullivan, M.2    Erez, M.3
  • 69
    • 85119780987 scopus 로고    scopus 로고
    • Analysis of asymmetric 3D DRAM architecture in combination with L2 cache size reduction
    • Proceeding of the IEEE High Performance Computing & Simulation (HPCS)
    • A. Schoenberger, K. Hofmann, Analysis of asymmetric 3D DRAM architecture in combination with L2 cache size reduction, in Proceeding of the IEEE High Performance Computing & Simulation (HPCS), 2015
    • (2015)
    • Schoenberger, A.1    Hofmann, K.2
  • 70
    • 27544456315 scopus 로고    scopus 로고
    • Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling
    • 32nd International Symposium on Computer Architecture (ISCA'05)
    • R Kumar, V Zyuban, D.M. Tullsen, Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling, in 32nd International Symposium on Computer Architecture (ISCA'05), 2005
    • (2005)
    • Kumar, R.1    Zyuban, V.2    Tullsen, D.M.3
  • 72
    • 0036149420 scopus 로고    scopus 로고
    • Networks on chips: a new SoC paradigm
    • L. Benini, G. De Micheli, Networks on chips: a new SoC paradigm. IEEE Comput. 35(1), 70-78 (2002)
    • (2002) IEEE Comput. , vol.35 , Issue.1 , pp. 70-78
    • Benini, L.1    De Micheli, G.2
  • 74
    • 34548318954 scopus 로고    scopus 로고
    • Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks
    • Proceeding of Design, Automation and Test in Europe Conference and Exhibition
    • A. Ejlali, et al., Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks, in Proceeding of Design, Automation and Test in Europe Conference and Exhibition, 2007
    • (2007)
    • Ejlali, A.1
  • 75
    • 84931827076 scopus 로고    scopus 로고
    • Error control for network-on-chip links
    • Springer Science & Business Media
    • B. Fu, P. Ampadu, Error control for network-on-chip links (Springer Science & Business Media, 2011)
    • (2011)
    • Fu, B.1    Ampadu, P.2
  • 76
    • 79953654204 scopus 로고    scopus 로고
    • Low-power, resilient interconnection with orthogonal Latin squares
    • S. Lee et al., Low-power, resilient interconnection with orthogonal Latin squares. IEEE Des. Test Comput. 28(2), 30-39 (2011)
    • (2011) IEEE Des. Test Comput. , vol.28 , Issue.2 , pp. 30-39
    • Lee, S.1
  • 78
    • 70350622990 scopus 로고    scopus 로고
    • Crosstalk-aware channel coding schemes for energy efficient and reliable NOC interconnects
    • A. Ganguly, P.P. Pande, B. Belzer, Crosstalk-aware channel coding schemes for energy efficient and reliable NOC interconnects. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 17(11), 1626-1639, 2009
    • (2009) IEEE Trans. Very Large Scale Integr. (VLSI) Syst , vol.17 , Issue.11 , pp. 1626-1639
    • Ganguly, A.1    Pande, P.P.2    Belzer, B.3
  • 80
    • 77950296733 scopus 로고    scopus 로고
    • Analysis of forward error correction methods for nanoscale networks-on-chip
    • Proceedings of the nano-net, Catania, Italy
    • T. Lehtonen, P. Lijieberg J. Plosila, Analysis of forward error correction methods for nanoscale networks-on-chip, in Proceedings of the nano-net, 2007, Catania, Italy, pp. 1-5
    • (2007) , pp. 1-5
    • Lehtonen, T.1    Lijieberg, P.2    Plosila, J.3
  • 81
    • 70349257426 scopus 로고    scopus 로고
    • On hamming product codes with type-II hybrid ARQ for on-chip interconnects
    • B. Fu, P. Ampadu, On hamming product codes with type-II hybrid ARQ for on-chip interconnects. IEEE Trans. Circuits Syst. I, Regul. Pap. 56(9), 2042-2054 (2009)
    • (2009) IEEE Trans. Circuits Syst. I, Regul. Pap. , vol.56 , Issue.9 , pp. 2042-2054
    • Fu, B.1    Ampadu, P.2
  • 82
    • 84961388717 scopus 로고    scopus 로고
    • Reliable networks-on-chip design for sustainable computing systems
    • Design Technologies for Green and Sustainable Computing Systems (Springer New York)
    • P. Ampadu, Q. Yu, B. Fu, Reliable networks-on-chip design for sustainable computing systems, in Design Technologies for Green and Sustainable Computing Systems (Springer New York), pp. 23-57
    • Ampadu, P.1    Yu, Q.2    Fu, B.3
  • 83
    • 84878344088 scopus 로고    scopus 로고
    • Addressing transient and permanent faults in NoC with efficient fault-tolerant de-flection router
    • C. Feng, Z. Lu, A. Jantsch, M. Zhang, Z. Xing, Addressing transient and permanent faults in NoC with efficient fault-tolerant de-flection router. IEEE Trans. Very Large Scale Integr. VLSI Syst. 21(6), 1053-1066 (2013)
    • (2013) IEEE Trans. Very Large Scale Integr. VLSI Syst. , vol.21 , Issue.6 , pp. 1053-1066
    • Feng, C.1    Lu, Z.2    Jantsch, A.3    Zhang, M.4    Xing, Z.5
  • 84
    • 84954417739 scopus 로고    scopus 로고
    • Towards on-chip fault-tolerant communication
    • Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'03), Kitakyushu, Japan
    • S. Dumitras, R. Kerner, R. Marculescu, Towards on-chip fault-tolerant communication, in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'03), Kitakyushu, Japan, pp. 225-232
    • Dumitras, S.1    Kerner, R.2    Marculescu, R.3
  • 86
    • 4544376708 scopus 로고    scopus 로고
    • Fault tolerant algorithms for network-on-chip interconnect
    • Proceeding IEEE Computer Society Annual Symposium on VLSI Emerging Trends in VLSI System Design, (ISVLSI'04), Lafayette, Louisiana, USA
    • M. Pirretti et al., Fault tolerant algorithms for network-on-chip interconnect, in Proceeding IEEE Computer Society Annual Symposium on VLSI Emerging Trends in VLSI System Design, (ISVLSI'04), Lafayette, Louisiana, USA, 2004, pp. 46-51
    • (2004) , pp. 46-51
    • Pirretti, M.1
  • 88
    • 33751090124 scopus 로고    scopus 로고
    • BIST for network-on-chip interconnect infrastructures
    • Proceedings of the 24th IEEE VLSI Test Symposium
    • C. Grecu, P. Pande, A. Ivanov, R. Saleh, BIST for network-on-chip interconnect infrastructures, in Proceedings of the 24th IEEE VLSI Test Symposium, 2006
    • (2006)
    • Grecu, C.1    Pande, P.2    Ivanov, A.3    Saleh, R.4
  • 89
    • 84887417271 scopus 로고    scopus 로고
    • Methods for fault tolerance in networks-on-chip ACM Comput
    • M. Radetzki, C Feng, X Zhao, A Jantsch, Methods for fault tolerance in networks-on-chip ACM Comput. Surv. 46(1), pp. 8:1, 8:38 (2013)
    • (2013) Surv. , vol.46 , Issue.1 , pp. 831-838
    • Radetzki, M.1    Feng, C.2    Zhao, X.3    Jantsch, A.4
  • 90
    • 51849165188 scopus 로고    scopus 로고
    • Routing table minimization for irregular mesh NoCs
    • proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'07)
    • E. Bolotin,I. Cidon, R. Ginosar, A. Kolodny, "Routing table minimization for irregular mesh NoCs", In proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'07). 1-6
    • Bolotin, E.1    Cidon, I.2    Ginosar, R.3    Kolodny, A.4
  • 91
    • 36349022660 scopus 로고    scopus 로고
    • Region-based routing: an efficient routing mechanism to tackle unreliable hardware in network on chips
    • Proceedings of the Symposium on Networks-on-Chip, (NOCS'07)
    • J. Flich, A. Mejia, P. Lopez, J. Duato, Region-based routing: an efficient routing mechanism to tackle unreliable hardware in network on chips, in Proceedings of the Symposium on Networks-on-Chip, (NOCS'07), 2007, pp. 183-194
    • (2007) , pp. 183-194
    • Flich, J.1    Mejia, A.2    Lopez, P.3    Duato, J.4
  • 92
    • 51549089448 scopus 로고    scopus 로고
    • A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip
    • Proceedings of IEEE Design Automation Conference (DAC'08)
    • Z. Zhang, A. Greiner, S. Taktak, A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip, in Proceedings of IEEE Design Automation Conference (DAC'08), 2008, pp. 441-446
    • (2008) , pp. 441-446
    • Zhang, Z.1    Greiner, A.2    Taktak, S.3
  • 93
    • 33746922011 scopus 로고    scopus 로고
    • DyNoC: a dynamic infrastructure for communication in dynamically reconfigurable devices
    • Proceedings of International Conference on Field Programmable Logic and Applications, (FPL08)
    • C. Bobda et al., DyNoC: a dynamic infrastructure for communication in dynamically reconfigurable devices, in Proceedings of International Conference on Field Programmable Logic and Applications, (FPL08), 2008, pp. 153-158
    • (2008) , pp. 153-158
    • Bobda, C.1
  • 94
    • 77954937186 scopus 로고    scopus 로고
    • A fault-tolerant and congestion-aware routing algorithm for Networks-on-chip
    • DDECS 2010
    • M. Valinataj, S. Mohammadi, J. Plosila, P. Liljeberg, A fault-tolerant and congestion-aware routing algorithm for Networks-on-chip. DDECS 2010, 139-144 (2010)
    • (2010) , pp. 139-144
    • Valinataj, M.1    Mohammadi, S.2    Plosila, J.3    Liljeberg, P.4
  • 95
    • 84873032064 scopus 로고    scopus 로고
    • MAFA: adaptive fault-tolerant routing algorithm for networks-on-chip
    • DSD 2012
    • M. Ebrahimi, M. Daneshtalab, J. Plosila, H. Tenhunen, MAFA: adaptive fault-tolerant routing algorithm for networks-on-chip. DSD 2012, 201-207 (2012)
    • (2012) , pp. 201-207
    • Ebrahimi, M.1    Daneshtalab, M.2    Plosila, J.3    Tenhunen, H.4
  • 96
    • 84885213902 scopus 로고    scopus 로고
    • Fault-tolerant adaptive routing under permanent and temporary failures for many-core systems-on-chip
    • Proceeding of the 9th IEEE International On-Line Testing Symposium (IOLTS13)
    • M. Dimopoulos, et al., Fault-tolerant adaptive routing under permanent and temporary failures for many-core systems-on-chip, in Proceeding of the 9th IEEE International On-Line Testing Symposium (IOLTS13), 2013
    • (2013)
    • Dimopoulos, M.1
  • 97
    • 0023346637 scopus 로고
    • Deadlock-free message routing in multiprocessor interconnection networks
    • W.J. Dally, C.L. Seitz, Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans. Comput. 36(5), 547-553 (1987)
    • (1987) IEEE Trans. Comput. , vol.36 , Issue.5 , pp. 547-553
    • Dally, W.J.1    Seitz, C.L.2
  • 98
    • 33748849061 scopus 로고    scopus 로고
    • Bulletproof: a defect-tolerant CMP switch architecture
    • Proceeding of the 12th IEEE International Symposium on High-Performance Computer Architecture
    • K. Constantinides et al.. Bulletproof: a defect-tolerant CMP switch architecture, in Proceeding of the 12th IEEE International Symposium on High-Performance Computer Architecture, 2006, pp. 5-16
    • (2006) , pp. 5-16
    • Constantinides, K.1
  • 99
    • 70350721929 scopus 로고    scopus 로고
    • Vicis: a reliable network for unreliable silicon
    • Proceedings of the 46th ACM Annual Design Automation Conference, (DAC'09)
    • D. Fick, A DeOrio, J. Hu, V. Bertacco, D. Blaauw, D. Sylvester, Vicis: a reliable network for unreliable silicon, in Proceedings of the 46th ACM Annual Design Automation Conference, (DAC'09), 2009, 812-817
    • (2009) , pp. 812-817
    • Fick, D.1    DeOrio, A.2    Hu, J.3    Bertacco, V.4    Blaauw, D.5    Sylvester, D.6
  • 100
    • 70349789944 scopus 로고    scopus 로고
    • Fault-tolerant architecture and deflection routing for degradable NoC switches
    • Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chips, (NOCS'09)
    • A. Kohler, M. Radetzki, Fault-tolerant architecture and deflection routing for degradable NoC switches, in Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chips, (NOCS'09), 2009, pp. 22-31
    • (2009) , pp. 22-31
    • Kohler, A.1    Radetzki, M.2


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.