-
1
-
-
84879873377
-
Quantitative evaluation of soft error injection techniques for robust system design
-
Proceeding of DAC'13, Austin, TX, USA
-
H. Cho, S. Mirkhani, C.-Y. Cher, J. Abraham, S. Mitra, Quantitative evaluation of soft error injection techniques for robust system design, in Proceeding of DAC'13, Austin, TX, USA
-
-
-
Cho, H.1
Mirkhani, S.2
Cher, C.-Y.3
Abraham, J.4
Mitra, S.5
-
2
-
-
84926315110
-
Dependable multicore architectures at nanoscale: the view from Europe
-
M. Ottavi, S. Pontarelli, D. Gizopoulos, C. Bolchini, M.K. Michael, L. Anghel, M. Tahoori, A. Paschalis, P. Reviriego et al., Dependable multicore architectures at nanoscale: the view from Europe. IEEE Des. Test Comput. 32(2), 17-28 (2015)
-
(2015)
IEEE Des. Test Comput.
, vol.32
, Issue.2
, pp. 17-28
-
-
Ottavi, M.1
Pontarelli, S.2
Gizopoulos, D.3
Bolchini, C.4
Michael, M.K.5
Anghel, L.6
Tahoori, M.7
Paschalis, A.8
Reviriego, P.9
-
3
-
-
0033726332
-
Transient fault detection via simultaneous multithreading
-
Proceedings of The 27th International Symposium on Computer Architecture, June
-
S.K. Reinhardt, S.S. Mukherjee, Transient fault detection via simultaneous multithreading, in Proceedings of The 27th International Symposium on Computer Architecture, June 2000
-
(2000)
-
-
Reinhardt, S.K.1
Mukherjee, S.S.2
-
4
-
-
0036287327
-
Detailed design and evaluation of redundant multithreading alternatives
-
ISCA
-
S.S.Mukherjee, M. Kontz, S.K. Reinhardt, Detailed design and evaluation of redundant multithreading alternatives, in ISCA, 2002
-
(2002)
-
-
Mukherjee, S.S.1
Kontz, M.2
Reinhardt, S.K.3
-
5
-
-
79952011278
-
Hypervisor-based virtual hardware for fault tolerance in COTS processors targeting space applications
-
Proceedings of International Symposium on Defect Fault Tolerance VLSI System
-
S. Campagna, M. Hussain, M. Violante, Hypervisor-based virtual hardware for fault tolerance in COTS processors targeting space applications, in Proceedings of International Symposium on Defect Fault Tolerance VLSI System, 2010, pp. 44-51
-
(2010)
, pp. 44-51
-
-
Campagna, S.1
Hussain, M.2
Violante, M.3
-
6
-
-
79957579047
-
Architectures for online error detection and recovery in multicore processors
-
Proceedings of Design, Automation Test in Europe (DATE)
-
D. Gizopoulos et al., Architectures for online error detection and recovery in multicore processors, in Proceedings of Design, Automation Test in Europe (DATE), 2011, pp. 533-538
-
(2011)
, pp. 533-538
-
-
Gizopoulos, D.1
-
7
-
-
28044460018
-
A higher order estimate of the optimum checkpoint interval for restart dumps
-
J.T. Daly, A higher order estimate of the optimum checkpoint interval for restart dumps. Future Gener. Comput. Syst. 22(3), 303-312 (2006)
-
(2006)
Future Gener. Comput. Syst.
, vol.22
, Issue.3
, pp. 303-312
-
-
Daly, J.T.1
-
8
-
-
83155184556
-
Checkpointing strategies for parallel jobs, in Supercomputing, SC '11
-
ACM, New York, NY, USA
-
M. Bougeret, H. Casanova, M. Rabie, Y. Robert, F. Vivien, Checkpointing strategies for parallel jobs, in Supercomputing, SC '11 (ACM, New York, NY, USA, 2011), pp. 1-11
-
(2011)
, pp. 1-11
-
-
Bougeret, M.1
Casanova, H.2
Rabie, M.3
Robert, Y.4
Vivien, F.5
-
9
-
-
84899671615
-
ACR: automatic checkpoint/restart for soft and hard error protection
-
Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, Denver, Colorado, 17-21 November
-
X. Ni, E. Meneses, N. Jain, L.V. Kalé, ACR: automatic checkpoint/restart for soft and hard error protection, in Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis, Denver, Colorado, 17-21 November 2013
-
(2013)
-
-
Ni, X.1
Meneses, E.2
Jain, N.3
Kalé, L.V.4
-
10
-
-
84899576169
-
Shadow computing: an energy-aware fault tolerant computing model
-
2014 International Conference on Computing, Networking and Communications (ICNC), Honolulu, HI, 3-6 February
-
B. Mills, R. Melhem, Shadow computing: an energy-aware fault tolerant computing model, in 2014 International Conference on Computing, Networking and Communications (ICNC), Honolulu, HI, 3-6 February 2014
-
(2014)
-
-
Mills, B.1
Melhem, R.2
-
11
-
-
33947313321
-
A case for fault-tolerance and performance enhancement using chip multiprocessors
-
H. Zhou, A case for fault-tolerance and performance enhancement using chip multiprocessors. IEEE Comput. Archit. Lett. 5(1), 22-25 (2006)
-
(2006)
IEEE Comput. Archit. Lett.
, vol.5
, Issue.1
, pp. 22-25
-
-
Zhou, H.1
-
12
-
-
33644919336
-
Dual-core execution: building a highly scalable single-thread instruction window
-
PACT'05
-
H. Zhou, Dual-core execution: building a highly scalable single-thread instruction window, in PACT'05, 2005
-
(2005)
-
-
Zhou, H.1
-
13
-
-
0036922117
-
A portable and fault-tolerant microprocessor based on the SPARC v8 architecture
-
Proceedings of International Conference on Dependable Systems and Networks
-
J. Gaisler, A portable and fault-tolerant microprocessor based on the SPARC v8 architecture, in Proceedings of International Conference on Dependable Systems and Networks, 2002, pp. 409-415
-
(2002)
, pp. 409-415
-
-
Gaisler, J.1
-
14
-
-
84962050596
-
An Embedded Microprocessor Radiation Hardened by Microarchitecture and Circuits
-
L.T. Clark, D.W. Patterson, C. Ramamurthy, K.E. Holbert, An Embedded Microprocessor Radiation Hardened by Microarchitecture and Circuits. IEEE Trans. Comput. 65(2), 382-395 (2016)
-
(2016)
IEEE Trans. Comput.
, vol.65
, Issue.2
, pp. 382-395
-
-
Clark, L.T.1
Patterson, D.W.2
Ramamurthy, C.3
Holbert, K.E.4
-
15
-
-
0033321638
-
DIVA: a reliable substrate for deep submicron microarchitecture design
-
MICRO
-
T.M. Austin, DIVA: a reliable substrate for deep submicron microarchitecture design, MICRO 1999
-
(1999)
-
-
Austin, T.M.1
-
16
-
-
84958165264
-
A Fault-Tolerant Processor Architecture
-
22nd International Conference on Architecture of Computing Systems (ARCS) (Delft, The Netherlands, 11 March)
-
A. Bouajila, T. Sommer, J. Zeppenfeld, W. Stechele, A. Herkersdorf, A Fault-Tolerant Processor Architecture, in 22nd International Conference on Architecture of Computing Systems (ARCS) (Delft, The Netherlands, 11 March 2009), pp. 1-5
-
(2009)
, pp. 1-5
-
-
Bouajila, A.1
Sommer, T.2
Zeppenfeld, J.3
Stechele, W.4
Herkersdorf, A.5
-
17
-
-
41349091201
-
Argus: low-cost, comprehensive error detection in simple cores, MICRO
-
A. Meixner, M.E. Bauer, D.J. Sorin, Argus: low-cost, comprehensive error detection in simple cores, MICRO (2007)
-
(2007)
-
-
Meixner, A.1
Bauer, M.E.2
Sorin, D.J.3
-
18
-
-
4644320531
-
Techniques to reduce the soft error rate of a high-performance microprocessor
-
Annual International Symposium on Computer Architecture
-
C. Weaver, J. Emer, S. Mukherjee, S.K. Reinhardt, Techniques to reduce the soft error rate of a high-performance microprocessor, in Annual International Symposium on Computer Architecture, 2004
-
(2004)
-
-
Weaver, C.1
Emer, J.2
Mukherjee, S.3
Reinhardt, S.K.4
-
19
-
-
33646829087
-
SWIFT: Software implemented fault tolerance
-
Proceedings of International Symposium on Code Generation Optimization
-
G. Reis, J. Chang, N. Vachharajani, R. Rangan and D. August, SWIFT: Software implemented fault tolerance, in Proceedings of International Symposium on Code Generation Optimization, 2005, pp. 243-254
-
(2005)
, pp. 243-254
-
-
Reis, G.1
Chang, J.2
Vachharajani, N.3
Rangan, R.4
August, D.5
-
20
-
-
34249775197
-
Automatic instruction-level software only recovery method
-
G.A. Reis, J. Chang, D.I. August, Automatic instruction-level software only recovery method. IEEE Micro 27(1) (2007)
-
(2007)
IEEE Micro
, vol.27
, Issue.1
-
-
Reis, G.A.1
Chang, J.2
August, D.I.3
-
22
-
-
0032674982
-
Design and evaluation of system-level checks for on-line control flow error detection
-
Z. Alkhalifa, V.S.S. Nair, N. Krishnamurthy, J.A. Abraham, Design and evaluation of system-level checks for on-line control flow error detection. IEEE Trans. Parallel Distrib. Syst 10(6), 627-641 (1999)
-
(1999)
IEEE Trans. Parallel Distrib. Syst
, vol.10
, Issue.6
, pp. 627-641
-
-
Alkhalifa, Z.1
Nair, V.S.S.2
Krishnamurthy, N.3
Abraham, J.A.4
-
23
-
-
84964978136
-
Soft-error detection using control flow assertions
-
Proceedings of 18th IEEE International Symposium Defect and Fault Tolerance in VLSI Systems
-
O. Goloubeva, M. Rebaudengo, M.S. Reorda, M. Violante, Soft-error detection using control flow assertions, in Proceedings of 18th IEEE International Symposium Defect and Fault Tolerance in VLSI Systems, 2003, pp. 581-588
-
(2003)
, pp. 581-588
-
-
Goloubeva, O.1
Rebaudengo, M.2
Reorda, M.S.3
Violante, M.4
-
24
-
-
81355162831
-
Low-cost on-line fault detection using control flow assertions
-
IOLTS'03: Proceedings of 12th IEEE International On-Line Testing Symposium
-
R. Venkatasubramanian, J.P. Hayes, B.T. Murray, Low-cost on-line fault detection using control flow assertions, in IOLTS'03: Proceedings of 12th IEEE International On-Line Testing Symposium, 2003, pp. 137-143
-
(2003)
, pp. 137-143
-
-
Venkatasubramanian, R.1
Hayes, J.P.2
Murray, B.T.3
-
25
-
-
79961089469
-
Ceda: control-flow error detection using assertions
-
R. Vemu, J. Abraham, Ceda: control-flow error detection using assertions. IEEE Trans. on Comput 90(9), 1233-1245 (2011)
-
(2011)
IEEE Trans. on Comput
, vol.90
, Issue.9
, pp. 1233-1245
-
-
Vemu, R.1
Abraham, J.2
-
26
-
-
77952722451
-
Microprocessor software-based self-testing
-
M. Psarakis, D. Gizopoulos, E. Sanchez, M. Sonza Reorda, Microprocessor software-based self-testing. IEEE Des Test of Comput 27(3), 4-19
-
IEEE Des Test of Comput
, vol.27
, Issue.3
, pp. 4-19
-
-
Psarakis, M.1
Gizopoulos, D.2
Sanchez, E.3
Sonza Reorda, M.4
-
27
-
-
79951594806
-
MT-SBST: self-test optimization in multithreaded multicore architectures
-
Proceeding of IEEE Internationl Test Conference
-
N. Foutris, M. Psarakis, D. Gizopoulos, A. Apostolakis, X. Vera, A. Gonzalez, MT-SBST: self-test optimization in multithreaded multicore architectures, in Proceeding of IEEE Internationl Test Conference, 2010, pp. 1-10
-
(2010)
, pp. 1-10
-
-
Foutris, N.1
Psarakis, M.2
Gizopoulos, D.3
Apostolakis, A.4
Vera, X.5
Gonzalez, A.6
-
28
-
-
23744456840
-
A built-in self-repair design for RAMs with 2-D redundancies
-
J.-F. Li, J.-C. Yeh, R.-F. Huang, C.-W. Wu, A built-in self-repair design for RAMs with 2-D redundancies. IEEE Trans. Very Large Scale Integr. Syst. 13(6), 742-745 (2005)
-
(2005)
IEEE Trans. Very Large Scale Integr. Syst.
, vol.13
, Issue.6
, pp. 742-745
-
-
Li, J.-F.1
Yeh, J.-C.2
Huang, R.-F.3
Wu, C.-W.4
-
29
-
-
0021392066
-
Error-correcting codes for semiconductor memory applications: a state-of-the-art review
-
C.L. Chen, M.Y. Hsiao, Error-correcting codes for semiconductor memory applications: a state-of-the-art review. IBM J. Res. Dev. 28(2), 124-134 (1984)
-
(1984)
IBM J. Res. Dev.
, vol.28
, Issue.2
, pp. 124-134
-
-
Chen, C.L.1
Hsiao, M.Y.2
-
30
-
-
0003476270
-
Error control coding, 2nd edn.
-
Englewood Cliffs, New Jersey, Prentice-Hall
-
S. Lin, D.J. Costello, error control coding, 2nd edn. (Englewood Cliffs, New Jersey, Prentice-Hall, 2004)
-
(2004)
-
-
Lin, S.1
Costello, D.J.2
-
31
-
-
0020140022
-
Convolutionally encoded memory protection
-
J.J. Metzner, Convolutionally encoded memory protection. IEEE Trans. Comput. 31(6), 547-551 (1983)
-
(1983)
IEEE Trans. Comput.
, vol.31
, Issue.6
, pp. 547-551
-
-
Metzner, J.J.1
-
32
-
-
0014823837
-
A class of optimal minimum odd-weight column SEC-DED codes
-
M.Y. Hsiao, A class of optimal minimum odd-weight column SEC-DED codes. IBM J. Res. Dev. 14(4), 395-401 (1970)
-
(1970)
IBM J. Res. Dev.
, vol.14
, Issue.4
, pp. 395-401
-
-
Hsiao, M.Y.1
-
33
-
-
77954030094
-
Impact of scaling on neutron-induced soft error rate in SRAMs from a 250 nm to a 22 nm design rule
-
E. Ibe, H. Taniguchi, Y. Yahagi, K. Shimbo, T. Toba, Impact of scaling on neutron-induced soft error rate in SRAMs from a 250 nm to a 22 nm design rule. IEEE Trans. Electron Devices 57(7), 1527-1538 (2010)
-
(2010)
IEEE Trans. Electron Devices
, vol.57
, Issue.7
, pp. 1527-1538
-
-
Ibe, E.1
Taniguchi, H.2
Yahagi, Y.3
Shimbo, K.4
Toba, T.5
-
34
-
-
37549069366
-
Multiple bit upset tolerant memory using a selective cycle avoidance based SEC-DED-DAEC code
-
25th IEEE VLSI Test Symposium
-
A. Dutta, N.A. Touba, Multiple bit upset tolerant memory using a selective cycle avoidance based SEC-DED-DAEC code, in 25th IEEE VLSI Test Symposium, 2007, pp. 349-354
-
(2007)
, pp. 349-354
-
-
Dutta, A.1
Touba, N.A.2
-
35
-
-
84959560502
-
MCU tolerance in SRAMs through low redundancy triple adjacent error correction
-
L.J. Saiz-Adalid, P. Reviriego, P. Gil, S. Pontarelli, J.A. Maestro, MCU tolerance in SRAMs through low redundancy triple adjacent error correction. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 23(10), 2332-2336 (2015)
-
(2015)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.23
, Issue.10
, pp. 2332-2336
-
-
Saiz-Adalid, L.J.1
Reviriego, P.2
Gil, P.3
Pontarelli, S.4
Maestro, J.A.5
-
36
-
-
34548090143
-
Models and algorithmic limits for an ECC-based approach to hardening sub-100-nm SRAMs
-
M.A. Bajura et al., Models and algorithmic limits for an ECC-based approach to hardening sub-100-nm SRAMs. IEEE Trans. Nucl. Sci. 54(4), 935-945 (2007)
-
(2007)
IEEE Trans. Nucl. Sci.
, vol.54
, Issue.4
, pp. 935-945
-
-
Bajura, M.A.1
-
37
-
-
85013779422
-
Architecture design for soft errors
-
Morgan Kaufmann
-
S. Mukherjee, Architecture design for soft errors (Morgan Kaufmann, 2008)
-
(2008)
-
-
Mukherjee, S.1
-
38
-
-
31344452990
-
The parity protected, multithreaded register files on the 90-nm Itanium microprocessor
-
E. Fetzer, D. Dahle, C. Little, K. Safford, The parity protected, multithreaded register files on the 90-nm Itanium microprocessor. IEEE J. Solid-State Circuits 41(1), 246-255 (2006)
-
(2006)
IEEE J. Solid-State Circuits
, vol.41
, Issue.1
, pp. 246-255
-
-
Fetzer, E.1
Dahle, D.2
Little, C.3
Safford, K.4
-
39
-
-
84868678998
-
Low-cost single error correction multiple adjacent error correction codes
-
P. Reviriego, S. Pontarelli, J.A. Maestro, M. Ottavi, Low-cost single error correction multiple adjacent error correction codes. IET Electron. Lett. 48(23), 1470-1472 (2012)
-
(2012)
IET Electron. Lett.
, vol.48
, Issue.23
, pp. 1470-1472
-
-
Reviriego, P.1
Pontarelli, S.2
Maestro, J.A.3
Ottavi, M.4
-
40
-
-
84874613383
-
A method to construct low delay Single Error Correction (SEC) codes for protecting data bits only
-
P. Reviriego, S. Pontarelli, J.A. Maestro, M. Ottavi, A method to construct low delay Single Error Correction (SEC) codes for protecting data bits only. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(3), 479-483 (2013)
-
(2013)
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.
, vol.32
, Issue.3
, pp. 479-483
-
-
Reviriego, P.1
Pontarelli, S.2
Maestro, J.A.3
Ottavi, M.4
-
41
-
-
34748921576
-
Analysis of soft error mitigation techniques for register files in IBM Cu-08 90 nm technology
-
Proceeding of IEEE International Midwest Symposium Circuits and Systems
-
R. Naseer, R. Bhatt, J. Draper, Analysis of soft error mitigation techniques for register files in IBM Cu-08 90 nm technology, in Proceeding of IEEE International Midwest Symposium Circuits and Systems, 2006, pp. 515-519
-
(2006)
, pp. 515-519
-
-
Naseer, R.1
Bhatt, R.2
Draper, J.3
-
43
-
-
84879047255
-
Enhanced duplication: a technique to correct soft errors in narrow values, IEEE Comput
-
I.B. Karsli, P. Reviriego, M.F. Balli, O. Ergin, J.A. Maestro, Enhanced duplication: a technique to correct soft errors in narrow values, IEEE Comput. Archit. Lett. 12(1), 13-16 (2013)
-
(2013)
Archit. Lett.
, vol.12
, Issue.1
, pp. 13-16
-
-
Karsli, I.B.1
Reviriego, P.2
Balli, M.F.3
Ergin, O.4
Maestro, J.A.5
-
44
-
-
36049000932
-
Using register lifetime predictions to protect register files against soft errors
-
Proceeding of Dependable Systems and Networks
-
P. Montesinos et al., Using register lifetime predictions to protect register files against soft errors, in Proceeding of Dependable Systems and Networks, 2007, pp. 286-296
-
(2007)
, pp. 286-296
-
-
Montesinos, P.1
-
45
-
-
70350070719
-
Static analysis to mitigate soft errors in register files
-
Proceeding of Design, Automation and Test in Europe (DATE), April
-
J. Lee, A. Shrivastava, Static analysis to mitigate soft errors in register files, in Proceeding of Design, Automation and Test in Europe (DATE), April 2009, pp. 1367-1372
-
(2009)
, pp. 1367-1372
-
-
Lee, J.1
Shrivastava, A.2
-
46
-
-
85008014183
-
A compiler-microarchitecture hybrid approach to soft error reduction for register files
-
J. Lee, A. Shrivastava, A compiler-microarchitecture hybrid approach to soft error reduction for register files. IEEE Trans. Comput. Aided Des. Integr. Circuits and Syst. 29(7), 1018- 1027 (2010)
-
(2010)
IEEE Trans. Comput. Aided Des. Integr. Circuits and Syst.
, vol.29
, Issue.7
, pp. 1018- 1027
-
-
Lee, J.1
Shrivastava, A.2
-
47
-
-
70449849505
-
An energy efficient circuit level technique to protect register file from MBUs and SETs in embedded processors
-
Proceeding of Dependable Systems and Networks
-
M. Fazeli, A. Namazi, S.G. Miremadi, An energy efficient circuit level technique to protect register file from MBUs and SETs in embedded processors, in Proceeding of Dependable Systems and Networks, 2009, pp. 195-204
-
(2009)
, pp. 195-204
-
-
Fazeli, M.1
Namazi, A.2
Miremadi, S.G.3
-
48
-
-
84962050596
-
An embedded microprocessor radiation hardened by microarchitecture and circuits
-
L.T. Clark, D.W. Patterson, C. Ramamurthy, K.E. Holbert, An embedded microprocessor radiation hardened by microarchitecture and circuits. IEEE Trans. Comput. 65(2), 382-395 (2016)
-
(2016)
IEEE Trans. Comput.
, vol.65
, Issue.2
, pp. 382-395
-
-
Clark, L.T.1
Patterson, D.W.2
Ramamurthy, C.3
Holbert, K.E.4
-
49
-
-
47349100793
-
Multi-bit error tolerant caches using two-dimensional error coding
-
Proceeding of the 40th IEEE/ACM International Symposium on Microarchitecture (MICRO), December
-
J. Kim, N. Hardavellas, K. Mai, B. Falsafi, J.C. Hoe, Multi-bit error tolerant caches using two-dimensional error coding, in Proceeding of the 40th IEEE/ACM International Symposium on Microarchitecture (MICRO), December 2007
-
(2007)
-
-
Kim, J.1
Hardavellas, N.2
Mai, K.3
Falsafi, B.4
Hoe, J.C.5
-
50
-
-
1542300175
-
ICR: In-cache replication for enhancing data cache reliability
-
Proceeding of the International Conference on Dependable Systems and Networks (DSN), June
-
W. Zhang, S. Gurumurthi, M. Kandemir, A. Sivasubramaniam, ICR: In-cache replication for enhancing data cache reliability, in Proceeding of the International Conference on Dependable Systems and Networks (DSN), June 2003
-
(2003)
-
-
Zhang, W.1
Gurumurthi, S.2
Kandemir, M.3
Sivasubramaniam, A.4
-
51
-
-
0014808597
-
Orthogonal Latin square codes
-
M.Y. Hsiao, D.C. Bossen, R.T. Chien, Orthogonal Latin square codes. IBM J. Res. Dev. 14 (4), 390-394 (1970)
-
(1970)
IBM J. Res. Dev
, vol.14
, Issue.4
, pp. 390-394
-
-
Hsiao, M.Y.1
Bossen, D.C.2
Chien, R.T.3
-
52
-
-
78650268322
-
Adaptive cache design to enable reliable low-voltage operation
-
A.R. Alameldeen, Z. Chishti, C. Wilkerson, W. Wu, S.-L. Lu, Adaptive cache design to enable reliable low-voltage operation. IEEE Trans. Comput. 60(1), 50-63 (2011)
-
(2011)
IEEE Trans. Comput.
, vol.60
, Issue.1
, pp. 50-63
-
-
Alameldeen, A.R.1
Chishti, Z.2
Wilkerson, C.3
Wu, W.4
Lu, S.-L.5
-
53
-
-
70450225732
-
Memory mapped ECC: low-cost error protection for last level caches
-
Proceeding of the 36th Annual International Symposium on Computer Architecture (ISCA)
-
D. H. Yoon, M. Erez, Memory mapped ECC: low-cost error protection for last level caches, in Proceeding of the 36th Annual International Symposium on Computer Architecture (ISCA), 2009
-
(2009)
-
-
Yoon, D.H.1
Erez, M.2
-
54
-
-
84861806524
-
Efficient error detection in double error correction BCH codes for memory applications
-
P. Reviriego, C. Argyrides, J.A. Maestro, Efficient error detection in double error correction BCH codes for memory applications. Microelectron. Reliab. 52(7), 1528-1530 (2012)
-
(2012)
Microelectron. Reliab.
, vol.52
, Issue.7
, pp. 1528-1530
-
-
Reviriego, P.1
Argyrides, C.2
Maestro, J.A.3
-
55
-
-
77953091625
-
SimTag: exploiting tag bits similarity to improve the reliability of the data caches
-
Proceeding Design Automation and Test in Europe
-
J. Kim, S. Kim, Y. Lee, SimTag: exploiting tag bits similarity to improve the reliability of the data caches, in Proceeding Design Automation and Test in Europe, 2010
-
(2010)
-
-
Kim, J.1
Kim, S.2
Lee, Y.3
-
56
-
-
84859001498
-
Replicating tag entries for reliability enhancement in cache tag arrays
-
S. Wang, J. Hu, S.G. Ziavras, Replicating tag entries for reliability enhancement in cache tag arrays. IEEE Trans. Very Large Scale Integr. Syst. 20(4), 643-654 (2012)
-
(2012)
IEEE Trans. Very Large Scale Integr. Syst.
, vol.20
, Issue.4
, pp. 643-654
-
-
Wang, S.1
Hu, J.2
Ziavras, S.G.3
-
57
-
-
84930935344
-
FastTag: a technique to protect cache tags against soft errors
-
P. Reviriego, S. Pontarelli, M. Ottavi, J.A. Maestro, FastTag: a technique to protect cache tags against soft errors. IEEE Trans. Device Mater. Reliab. 14(3), 935-937 (2014)
-
(2014)
IEEE Trans. Device Mater. Reliab.
, vol.14
, Issue.3
, pp. 935-937
-
-
Reviriego, P.1
Pontarelli, S.2
Ottavi, M.3
Maestro, J.A.4
-
58
-
-
84964789295
-
Unequal error protection codes derived from SEC-DED codes
-
(in press)
-
P. Reviriego, S.S. Liu, A. Sánchez-Macián, L.Y. Xiao, J.A. Maestro, Unequal error protection codes derived from SEC-DED codes, IET Electron. Lett. (2016) (in press)
-
(2016)
IET Electron. Lett
-
-
Reviriego, P.1
Liu, S.S.2
Sánchez-Macián, A.3
Xiao, L.Y.4
Maestro, J.A.5
-
59
-
-
84877721508
-
A study of DRAM failures in the field
-
Proceeding of the International Conference on High Performance Computing, Networking, Storage and Analysis
-
V. Sridharan, D. Liberty, A study of DRAM failures in the field, in Proceeding of the International Conference on High Performance Computing, Networking, Storage and Analysis, 2012
-
(2012)
-
-
Sridharan, V.1
Liberty, D.2
-
60
-
-
70449657893
-
DRAM errors in the wild: a large-scale field study
-
Proceeding of ACM SIGMETRICS
-
B. Schroeder, E. Pinheiro, W-D. Weber, DRAM errors in the wild: a large-scale field study, in Proceeding of ACM SIGMETRICS, 2009
-
(2009)
-
-
Schroeder, B.1
Pinheiro, E.2
Weber, W.-D.3
-
61
-
-
84988258407
-
Radiation hard memory. Radiation testing of candidate memory devices for Laplace mission
-
CNES/ESA Radiation effects final presentation days, March
-
H. Schmidt, M. Hermann, K. Grürmann, F. Gliem, V. Ferlet-Cavrois, Radiation hard memory. Radiation testing of candidate memory devices for Laplace mission, CNES/ESA Radiation effects final presentation days, March 2015
-
(2015)
-
-
Schmidt, H.1
Hermann, M.2
Grürmann, K.3
Gliem, F.4
Ferlet-Cavrois, V.5
-
62
-
-
84934307456
-
Chipkill Memory
-
Technical Report
-
International Business Machines Corporation (IBM) "Chipkill Memory," http://www-05. ibm.com/hu/termekismertetok/xseries/dn/chipkill.pdf, Technical Report, 2012
-
(2012)
-
-
-
63
-
-
84899670484
-
Low-power, low-storage-overhead chipkill correct via multi-line error correction
-
Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis (SC)
-
X. Jian, H. Duwe, J. Sartori, V. Sridharan, R. Kumar, Low-power, low-storage-overhead chipkill correct via multi-line error correction, in Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis (SC), 2013
-
(2013)
-
-
Jian, X.1
Duwe, H.2
Sartori, J.3
Sridharan, V.4
Kumar, R.5
-
64
-
-
77649332312
-
Error correction codes for SEU and SEFI tolerant memory systems
-
24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, October
-
S. Pontarelli, G.C. Cardarilli, M. Re, A. Salsano, Error correction codes for SEU and SEFI tolerant memory systems, in 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, October 2009, pp. 425-430
-
(2009)
, pp. 425-430
-
-
Pontarelli, S.1
Cardarilli, G.C.2
Re, M.3
Salsano, A.4
-
65
-
-
77952257218
-
Virtualized and flexible ECC for main memory
-
Proceeding of the International Symposium on Architectural Support for Programming Languages and Operating Systems
-
D.H. Yoon, M. Erez, Virtualized and flexible ECC for main memory, in Proceeding of the International Symposium on Architectural Support for Programming Languages and Operating Systems, 2010
-
(2010)
-
-
Yoon, D.H.1
Erez, M.2
-
66
-
-
84864832751
-
LOT-ECC: localized and tiered reliability mechanisms for commodity memory systems
-
Proceeding of the International Symposium on Computer Architecture
-
A.N. Udipi, N. Muralimanohar, R. Balsubramonian, A. Davis, N.P. Jouppi, LOT-ECC: localized and tiered reliability mechanisms for commodity memory systems, in Proceeding of the International Symposium on Computer Architecture, 2012
-
(2012)
-
-
Udipi, A.N.1
Muralimanohar, N.2
Balsubramonian, R.3
Davis, A.4
Jouppi, N.P.5
-
67
-
-
85119221480
-
Bamboo ECC: strong, safe, and flexible codes for reliable computer memory
-
Proceeding of the International Symposium on High Performance Computer Architecture
-
J. Kim, M. Sullivan, M. Erez, Bamboo ECC: strong, safe, and flexible codes for reliable computer memory, in Proceeding of the International Symposium on High Performance Computer Architecture, 2015
-
(2015)
-
-
Kim, J.1
Sullivan, M.2
Erez, M.3
-
68
-
-
84875163754
-
Exploration and optimization of 3-D integrated DRAM subsystems
-
C. Weis, I. Loi, L. Benini, N. Wehn, Exploration and optimization of 3-D integrated DRAM subsystems, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.32(4) (2013)
-
(2013)
IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst
, vol.32
, Issue.4
-
-
Weis, C.1
Loi, I.2
Benini, L.3
Wehn, N.4
-
69
-
-
85119780987
-
Analysis of asymmetric 3D DRAM architecture in combination with L2 cache size reduction
-
Proceeding of the IEEE High Performance Computing & Simulation (HPCS)
-
A. Schoenberger, K. Hofmann, Analysis of asymmetric 3D DRAM architecture in combination with L2 cache size reduction, in Proceeding of the IEEE High Performance Computing & Simulation (HPCS), 2015
-
(2015)
-
-
Schoenberger, A.1
Hofmann, K.2
-
70
-
-
27544456315
-
Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling
-
32nd International Symposium on Computer Architecture (ISCA'05)
-
R Kumar, V Zyuban, D.M. Tullsen, Interconnections in multi-core architectures: understanding mechanisms, overheads and scaling, in 32nd International Symposium on Computer Architecture (ISCA'05), 2005
-
(2005)
-
-
Kumar, R.1
Zyuban, V.2
Tullsen, D.M.3
-
71
-
-
20444467586
-
Error control schemes for on-chip communication links: the energy-reliability tradeoff
-
D. Bertozzi, L. Benini, G. De Micheli, Error control schemes for on-chip communication links: the energy-reliability tradeoff. IEEE Trans. Comput.-Aided Des. of Integr. Circuits and Syst. 24(6), 818-831 (2005)
-
(2005)
IEEE Trans. Comput.-Aided Des. of Integr. Circuits and Syst.
, vol.24
, Issue.6
, pp. 818-831
-
-
Bertozzi, D.1
Benini, L.2
De Micheli, G.3
-
72
-
-
0036149420
-
Networks on chips: a new SoC paradigm
-
L. Benini, G. De Micheli, Networks on chips: a new SoC paradigm. IEEE Comput. 35(1), 70-78 (2002)
-
(2002)
IEEE Comput.
, vol.35
, Issue.1
, pp. 70-78
-
-
Benini, L.1
De Micheli, G.2
-
73
-
-
27344448860
-
Analysis of error recovery schemes for networks on chips
-
S. Murali, N. Vijaykrishnan, M.J. Irwin, L. Benini, G. De Micheli, Analysis of error recovery schemes for networks on chips. IEEE Des. Test Comput. 22(5), 434-442 (2005)
-
(2005)
IEEE Des. Test Comput.
, vol.22
, Issue.5
, pp. 434-442
-
-
Murali, S.1
Vijaykrishnan, N.2
Irwin, M.J.3
Benini, L.4
De Micheli, G.5
-
74
-
-
34548318954
-
Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks
-
Proceeding of Design, Automation and Test in Europe Conference and Exhibition
-
A. Ejlali, et al., Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks, in Proceeding of Design, Automation and Test in Europe Conference and Exhibition, 2007
-
(2007)
-
-
Ejlali, A.1
-
75
-
-
84931827076
-
Error control for network-on-chip links
-
Springer Science & Business Media
-
B. Fu, P. Ampadu, Error control for network-on-chip links (Springer Science & Business Media, 2011)
-
(2011)
-
-
Fu, B.1
Ampadu, P.2
-
76
-
-
79953654204
-
Low-power, resilient interconnection with orthogonal Latin squares
-
S. Lee et al., Low-power, resilient interconnection with orthogonal Latin squares. IEEE Des. Test Comput. 28(2), 30-39 (2011)
-
(2011)
IEEE Des. Test Comput.
, vol.28
, Issue.2
, pp. 30-39
-
-
Lee, S.1
-
77
-
-
14844354326
-
Exploiting ECC redundancy to minimize crosstalk impact
-
D. Rossi, C. Metra, K.A. Nieuwland, A. Katoch, Exploiting ECC redundancy to minimize crosstalk impact. IEEE Des. & Test Comput. 22, 59-70 (2005)
-
(2005)
IEEE Des. & Test Comput
, vol.22
, pp. 59-70
-
-
Rossi, D.1
Metra, C.2
Nieuwland, K.A.3
Katoch, A.4
-
78
-
-
70350622990
-
Crosstalk-aware channel coding schemes for energy efficient and reliable NOC interconnects
-
A. Ganguly, P.P. Pande, B. Belzer, Crosstalk-aware channel coding schemes for energy efficient and reliable NOC interconnects. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 17(11), 1626-1639, 2009
-
(2009)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst
, vol.17
, Issue.11
, pp. 1626-1639
-
-
Ganguly, A.1
Pande, P.P.2
Belzer, B.3
-
80
-
-
77950296733
-
Analysis of forward error correction methods for nanoscale networks-on-chip
-
Proceedings of the nano-net, Catania, Italy
-
T. Lehtonen, P. Lijieberg J. Plosila, Analysis of forward error correction methods for nanoscale networks-on-chip, in Proceedings of the nano-net, 2007, Catania, Italy, pp. 1-5
-
(2007)
, pp. 1-5
-
-
Lehtonen, T.1
Lijieberg, P.2
Plosila, J.3
-
81
-
-
70349257426
-
On hamming product codes with type-II hybrid ARQ for on-chip interconnects
-
B. Fu, P. Ampadu, On hamming product codes with type-II hybrid ARQ for on-chip interconnects. IEEE Trans. Circuits Syst. I, Regul. Pap. 56(9), 2042-2054 (2009)
-
(2009)
IEEE Trans. Circuits Syst. I, Regul. Pap.
, vol.56
, Issue.9
, pp. 2042-2054
-
-
Fu, B.1
Ampadu, P.2
-
82
-
-
84961388717
-
Reliable networks-on-chip design for sustainable computing systems
-
Design Technologies for Green and Sustainable Computing Systems (Springer New York)
-
P. Ampadu, Q. Yu, B. Fu, Reliable networks-on-chip design for sustainable computing systems, in Design Technologies for Green and Sustainable Computing Systems (Springer New York), pp. 23-57
-
-
-
Ampadu, P.1
Yu, Q.2
Fu, B.3
-
83
-
-
84878344088
-
Addressing transient and permanent faults in NoC with efficient fault-tolerant de-flection router
-
C. Feng, Z. Lu, A. Jantsch, M. Zhang, Z. Xing, Addressing transient and permanent faults in NoC with efficient fault-tolerant de-flection router. IEEE Trans. Very Large Scale Integr. VLSI Syst. 21(6), 1053-1066 (2013)
-
(2013)
IEEE Trans. Very Large Scale Integr. VLSI Syst.
, vol.21
, Issue.6
, pp. 1053-1066
-
-
Feng, C.1
Lu, Z.2
Jantsch, A.3
Zhang, M.4
Xing, Z.5
-
84
-
-
84954417739
-
Towards on-chip fault-tolerant communication
-
Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'03), Kitakyushu, Japan
-
S. Dumitras, R. Kerner, R. Marculescu, Towards on-chip fault-tolerant communication, in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'03), Kitakyushu, Japan, pp. 225-232
-
-
-
Dumitras, S.1
Kerner, R.2
Marculescu, R.3
-
86
-
-
4544376708
-
Fault tolerant algorithms for network-on-chip interconnect
-
Proceeding IEEE Computer Society Annual Symposium on VLSI Emerging Trends in VLSI System Design, (ISVLSI'04), Lafayette, Louisiana, USA
-
M. Pirretti et al., Fault tolerant algorithms for network-on-chip interconnect, in Proceeding IEEE Computer Society Annual Symposium on VLSI Emerging Trends in VLSI System Design, (ISVLSI'04), Lafayette, Louisiana, USA, 2004, pp. 46-51
-
(2004)
, pp. 46-51
-
-
Pirretti, M.1
-
87
-
-
77950301257
-
Self-adaptive system for addressing permanent errors in on-chip interconnects
-
T. Lehtonen, D. Wolpert, P. Liljeberg, J. Plosila, P. Ampadu, Self-adaptive system for addressing permanent errors in on-chip interconnects. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 18(4), 527-540 (2010)
-
(2010)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.18
, Issue.4
, pp. 527-540
-
-
Lehtonen, T.1
Wolpert, D.2
Liljeberg, P.3
Plosila, J.4
Ampadu, P.5
-
88
-
-
33751090124
-
BIST for network-on-chip interconnect infrastructures
-
Proceedings of the 24th IEEE VLSI Test Symposium
-
C. Grecu, P. Pande, A. Ivanov, R. Saleh, BIST for network-on-chip interconnect infrastructures, in Proceedings of the 24th IEEE VLSI Test Symposium, 2006
-
(2006)
-
-
Grecu, C.1
Pande, P.2
Ivanov, A.3
Saleh, R.4
-
89
-
-
84887417271
-
Methods for fault tolerance in networks-on-chip ACM Comput
-
M. Radetzki, C Feng, X Zhao, A Jantsch, Methods for fault tolerance in networks-on-chip ACM Comput. Surv. 46(1), pp. 8:1, 8:38 (2013)
-
(2013)
Surv.
, vol.46
, Issue.1
, pp. 831-838
-
-
Radetzki, M.1
Feng, C.2
Zhao, X.3
Jantsch, A.4
-
90
-
-
51849165188
-
Routing table minimization for irregular mesh NoCs
-
proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'07)
-
E. Bolotin,I. Cidon, R. Ginosar, A. Kolodny, "Routing table minimization for irregular mesh NoCs", In proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'07). 1-6
-
-
-
Bolotin, E.1
Cidon, I.2
Ginosar, R.3
Kolodny, A.4
-
91
-
-
36349022660
-
Region-based routing: an efficient routing mechanism to tackle unreliable hardware in network on chips
-
Proceedings of the Symposium on Networks-on-Chip, (NOCS'07)
-
J. Flich, A. Mejia, P. Lopez, J. Duato, Region-based routing: an efficient routing mechanism to tackle unreliable hardware in network on chips, in Proceedings of the Symposium on Networks-on-Chip, (NOCS'07), 2007, pp. 183-194
-
(2007)
, pp. 183-194
-
-
Flich, J.1
Mejia, A.2
Lopez, P.3
Duato, J.4
-
92
-
-
51549089448
-
A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip
-
Proceedings of IEEE Design Automation Conference (DAC'08)
-
Z. Zhang, A. Greiner, S. Taktak, A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip, in Proceedings of IEEE Design Automation Conference (DAC'08), 2008, pp. 441-446
-
(2008)
, pp. 441-446
-
-
Zhang, Z.1
Greiner, A.2
Taktak, S.3
-
93
-
-
33746922011
-
DyNoC: a dynamic infrastructure for communication in dynamically reconfigurable devices
-
Proceedings of International Conference on Field Programmable Logic and Applications, (FPL08)
-
C. Bobda et al., DyNoC: a dynamic infrastructure for communication in dynamically reconfigurable devices, in Proceedings of International Conference on Field Programmable Logic and Applications, (FPL08), 2008, pp. 153-158
-
(2008)
, pp. 153-158
-
-
Bobda, C.1
-
94
-
-
77954937186
-
A fault-tolerant and congestion-aware routing algorithm for Networks-on-chip
-
DDECS 2010
-
M. Valinataj, S. Mohammadi, J. Plosila, P. Liljeberg, A fault-tolerant and congestion-aware routing algorithm for Networks-on-chip. DDECS 2010, 139-144 (2010)
-
(2010)
, pp. 139-144
-
-
Valinataj, M.1
Mohammadi, S.2
Plosila, J.3
Liljeberg, P.4
-
95
-
-
84873032064
-
MAFA: adaptive fault-tolerant routing algorithm for networks-on-chip
-
DSD 2012
-
M. Ebrahimi, M. Daneshtalab, J. Plosila, H. Tenhunen, MAFA: adaptive fault-tolerant routing algorithm for networks-on-chip. DSD 2012, 201-207 (2012)
-
(2012)
, pp. 201-207
-
-
Ebrahimi, M.1
Daneshtalab, M.2
Plosila, J.3
Tenhunen, H.4
-
96
-
-
84885213902
-
Fault-tolerant adaptive routing under permanent and temporary failures for many-core systems-on-chip
-
Proceeding of the 9th IEEE International On-Line Testing Symposium (IOLTS13)
-
M. Dimopoulos, et al., Fault-tolerant adaptive routing under permanent and temporary failures for many-core systems-on-chip, in Proceeding of the 9th IEEE International On-Line Testing Symposium (IOLTS13), 2013
-
(2013)
-
-
Dimopoulos, M.1
-
97
-
-
0023346637
-
Deadlock-free message routing in multiprocessor interconnection networks
-
W.J. Dally, C.L. Seitz, Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans. Comput. 36(5), 547-553 (1987)
-
(1987)
IEEE Trans. Comput.
, vol.36
, Issue.5
, pp. 547-553
-
-
Dally, W.J.1
Seitz, C.L.2
-
98
-
-
33748849061
-
Bulletproof: a defect-tolerant CMP switch architecture
-
Proceeding of the 12th IEEE International Symposium on High-Performance Computer Architecture
-
K. Constantinides et al.. Bulletproof: a defect-tolerant CMP switch architecture, in Proceeding of the 12th IEEE International Symposium on High-Performance Computer Architecture, 2006, pp. 5-16
-
(2006)
, pp. 5-16
-
-
Constantinides, K.1
-
99
-
-
70350721929
-
Vicis: a reliable network for unreliable silicon
-
Proceedings of the 46th ACM Annual Design Automation Conference, (DAC'09)
-
D. Fick, A DeOrio, J. Hu, V. Bertacco, D. Blaauw, D. Sylvester, Vicis: a reliable network for unreliable silicon, in Proceedings of the 46th ACM Annual Design Automation Conference, (DAC'09), 2009, 812-817
-
(2009)
, pp. 812-817
-
-
Fick, D.1
DeOrio, A.2
Hu, J.3
Bertacco, V.4
Blaauw, D.5
Sylvester, D.6
-
100
-
-
70349789944
-
Fault-tolerant architecture and deflection routing for degradable NoC switches
-
Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chips, (NOCS'09)
-
A. Kohler, M. Radetzki, Fault-tolerant architecture and deflection routing for degradable NoC switches, in Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chips, (NOCS'09), 2009, pp. 22-31
-
(2009)
, pp. 22-31
-
-
Kohler, A.1
Radetzki, M.2
|