-
1
-
-
28344452134
-
Demystifying 3D ICs: The pros and cons of going vertical
-
Nov./Dec.
-
W. Davis et al., "Demystifying 3D ICs: The pros and cons of going vertical, " IEEE Design Test Comput., vol. 22, no. 6, pp. 498-510, Nov./Dec. 2005.
-
(2005)
IEEE Design Test Comput.
, vol.22
, Issue.6
, pp. 498-510
-
-
Davis, W.1
-
2
-
-
84893942430
-
-
Yole-Développement [Online]. Available:
-
Yole-Développement. (2012). 3D IC & TSV Interconnects 2012 Business Update. [Online]. Available: http://www.i-micronews.com/reports/3dictsv-interconnects-2012-business%-update/8/302/
-
(2012)
3D IC & TSV Interconnects 2012 Business Update
-
-
-
3
-
-
85028207907
-
-
Mar [Online]. Available:
-
E. J. Vardaman. (Mar. 2013). 3D IC with TSV: Status and Developments. [Online]. Available: http://connection.ebscohost.com/c/articles/86024505/3d-ic-tsv-status-developments
-
(2013)
3D IC with TSV: Status and Developments
-
-
Vardaman, E.J.1
-
4
-
-
85028213255
-
-
Freescale-Semiconductor Jan. [Online]. Available:
-
Freescale-Semiconductor. (Jan. 2013). Freescale's Redistributed Chip Packaging. [Online]. Available: http://www.freescale.com/files/shared/doc/reports-presentations/rcppresentation.pdf
-
(2013)
Freescale's Redistributed Chip Packaging
-
-
-
5
-
-
85028228627
-
-
A Star-IME Nov. [Online]. Available:
-
A-Star-IME. (Nov. 2010). TSV Silicon Interposer for High I/O Applications. [Online]. Available: http://www.ime.a-star.edu.sg/uploadfiles/3-proposal-tsv-interposer.pdf
-
(2010)
TSV Silicon Interposer for High I/O Applications
-
-
-
6
-
-
84893965040
-
A high-performance multiported L2 memory IP for scalable three-dimensional integration
-
Oct.
-
E. Azarkhish, I. Loi, and L. Benini, "A high-performance multiported L2 memory IP for scalable three-dimensional integration, " in Proc. IEEE Int. 3D Syst. Integr. Conf. (3DIC), Oct. 2013, pp. 1-8.
-
(2013)
Proc. IEEE Int. 3D Syst. Integr. Conf. (3DIC)
, pp. 1-8
-
-
Azarkhish, E.1
Loi, I.2
Benini, L.3
-
7
-
-
84883330334
-
3D stacking for multi-core architectures: From WIDEIO to distributed caches
-
May
-
F. Clermidy, D. Dutoit, E. Guthmuller, I. Miro-Panades, and P. Vivet, "3D stacking for multi-core architectures: From WIDEIO to distributed caches, " in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), May 2013, pp. 537-540.
-
(2013)
Proc. IEEE Int. Symp. Circuits Syst. (ISCAS)
, pp. 537-540
-
-
Clermidy, F.1
Dutoit, D.2
Guthmuller, E.3
Miro-Panades, I.4
Vivet, P.5
-
8
-
-
85028214939
-
-
Aug. [Online]. Available:
-
F. Ferro. (Aug. 2013). DRAM Remains the Status Quo. [Online]. Available: http://semiengineering.com/dram-remains-the-status-quo/
-
(2013)
DRAM Remains the Status Quo
-
-
Ferro, F.1
-
9
-
-
85028201371
-
-
Yole-Dévelopment Feb. [Online]. Available:
-
Yole-Dévelopment. (Feb. 2013). A Reassessment of the Use of Wide-I/O Memory in Smartphones. [Online]. Available: http://www.i-micronews.com/news/reassessment-use-wide-io-memorysmartphones, 10096.html
-
(2013)
A Reassessment of the Use of Wide-I/O Memory in Smartphones
-
-
-
10
-
-
77952554764
-
An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth
-
Jan.
-
D. H. Woo, N. H. Seong, D. L. Lewis, and H.-H. S. Lee, "An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth, " in Proc. IEEE 16th Int. Symp. High Perform. Comput. Archit. (HPCA), Jan. 2010, pp. 1-12.
-
(2010)
Proc. IEEE 16th Int. Symp. High Perform. Comput. Archit. (HPCA)
, pp. 1-12
-
-
Woo, D.H.1
Seong, N.H.2
Lewis, D.L.3
Lee, H.-H.S.4
-
11
-
-
77952950844
-
A 3-D cache with ultra-wide data bus for 3-D processor-memory integration
-
Jun.
-
A. Zia, P. Jacob, J.-W. Kim, M. Chu, R. P. Kraft, and J. F. McDonald, "A 3-D cache with ultra-wide data bus for 3-D processor-memory integration, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 18, no. 6, pp. 967-977, Jun. 2010.
-
(2010)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.18
, Issue.6
, pp. 967-977
-
-
Zia, A.1
Jacob, P.2
Kim, J.-W.3
Chu, M.4
Kraft, R.P.5
McDonald, J.F.6
-
12
-
-
79957785400
-
Design and management of 3D-stacked NUCA cache for chip multiprocessors
-
J. Jung, K. Kang, and C.-M. Kyung, "Design and management of 3D-stacked NUCA cache for chip multiprocessors, " in Proc. 21st Ed. Great Lakes Symp. VLSI (GLSVLSI), 2011, pp. 91-96.
-
(2011)
Proc. 21st Ed. Great Lakes Symp. VLSI (GLSVLSI)
, pp. 91-96
-
-
Jung, J.1
Kang, K.2
Kyung, C.-M.3
-
13
-
-
84883288792
-
A case for three-dimensional stacking of tightly coupled data memories over multi-core clusters using low-latency interconnects
-
Sep.
-
E. Azarkhish, I. Loi, and L. Benini, "A case for three-dimensional stacking of tightly coupled data memories over multi-core clusters using low-latency interconnects, " IET Comput. Digit. Techn., vol. 7, no. 5, pp. 191-199, Sep. 2013.
-
(2013)
IET Comput. Digit. Techn.
, vol.7
, Issue.5
, pp. 191-199
-
-
Azarkhish, E.1
Loi, I.2
Benini, L.3
-
14
-
-
84864961087
-
Rethinking the wirelength benefit of 3-D integration
-
Dec.
-
W.-K. Mak and C. Chu, "Rethinking the wirelength benefit of 3-D integration, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 20, no. 12, pp. 2346-2351, Dec. 2012.
-
(2012)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.20
, Issue.12
, pp. 2346-2351
-
-
Mak, W.-K.1
Chu, C.2
-
15
-
-
84910679163
-
-
[Online]. Available: accessed 2013
-
Snapdragon 800 Processors. [Online]. Available: http://www. qualcomm.com/, accessed 2013.
-
Snapdragon 800 Processors
-
-
-
16
-
-
85028194663
-
-
[Online]. Available: accessed 2013
-
Samsung Exynos 5 Octa Processors. [Online]. Available: http://www.samsung.com/, accessed 2013.
-
Samsung Exynos 5 Octa Processors
-
-
-
17
-
-
85028217993
-
-
[Online]. Available: accessed 2013
-
Keystone II Multicore DSP. [Online]. Available: http://www.ti.com/, accessed 2013.
-
Keystone II Multicore DSP
-
-
-
18
-
-
85028220973
-
-
Dec. [Online]. Available:
-
A. Syed. (Dec. 2012). Emerging IC Packaging Technologies. [Online]. Available: http://www.smta.org/chapters/files/arizonasonora-amkor-smta-az-expo-2012dec4.pdf
-
(2012)
Emerging IC Packaging Technologies
-
-
Syed, A.1
-
19
-
-
85028199397
-
New 3D packaging approach for next generation high performance DRAM
-
San Jose, CA, USA, Tech. Rep.
-
V. Solberg, S. McElrea, and W. Zohni, "New 3D packaging approach for next generation high performance DRAM, " Invensas Corporation, San Jose, CA, USA, Tech. Rep., 2012.
-
(2012)
Invensas Corporation
-
-
Solberg, V.1
McElrea, S.2
Zohni, W.3
-
21
-
-
52649125840
-
3D-stacked memory architectures for multi-core processors
-
Jun.
-
G. H. Loh, "3D-stacked memory architectures for multi-core processors, " in Proc. 35th Int. Symp. Comput. Archit. (ISCA), Jun. 2008, pp. 453-464.
-
(2008)
Proc. 35th Int. Symp. Comput. Archit. (ISCA)
, pp. 453-464
-
-
Loh, G.H.1
-
22
-
-
34547204691
-
A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy
-
Jul.
-
G. L. Loi, B. Agrawal, N. Srivastava, S.-C. Lin, T. Sherwood, and K. Banerjee, "A thermally-aware performance analysis of vertically integrated (3-D) processor-memory hierarchy, " in Proc. 43rd ACM/IEEE Design Autom. Conf., Jul. 2006, pp. 991-996.
-
(2006)
Proc. 43rd ACM/IEEE Design Autom. Conf.
, pp. 991-996
-
-
Loi, G.L.1
Agrawal, B.2
Srivastava, N.3
Lin, S.-C.4
Sherwood, T.5
Banerjee, K.6
-
25
-
-
84898063371
-
13.1 a 1 Gb 2 GHz embedded DRAM in 22 nm tri-gate CMOS technology
-
Dig. Tech. Papers (ISSCC), Feb.
-
F. Hamzaoglu et al., "13.1 a 1 Gb 2 GHz embedded DRAM in 22 nm tri-gate CMOS technology, " in IEEE Int. Solid-State Circuits Conf., Dig. Tech. Papers (ISSCC), Feb. 2014, pp. 230-231.
-
(2014)
IEEE Int. Solid-State Circuits Conf.
, pp. 230-231
-
-
Hamzaoglu, F.1
-
27
-
-
84860684461
-
A 4.6 GHz 162 Mb SRAM design in 22 nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry
-
Feb.
-
E. Karl et al., "A 4.6 GHz 162 Mb SRAM design in 22 nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry, " in IEEE Int. Solid-State Circuits Conf., Dig. Tech. Papers (ISSCC), Feb. 2012, pp. 230-232.
-
(2012)
IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers (ISSCC)
, pp. 230-232
-
-
Karl, E.1
-
28
-
-
84880300255
-
Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized EDRAM
-
Feb.
-
M.-T. Chang, P. Rosenfeld, S.-L. Lu, and B. Jacob, "Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized EDRAM, " in Proc. IEEE 19th Int. Symp. High Perform. Comput. Archit. (HPCA), Feb. 2013, pp. 143-154.
-
(2013)
Proc. IEEE 19th Int. Symp. High Perform. Comput. Archit. (HPCA)
, pp. 143-154
-
-
Chang, M.-T.1
Rosenfeld, P.2
Lu, S.-L.3
Jacob, B.4
-
29
-
-
83155177008
-
Pragmatic integration of an SRAM row cache in heterogeneous 3-D DRAM architecture using TSV
-
Jan.
-
D. H. Woo, N. H. Seong, and H.-H. Lee, "Pragmatic integration of an SRAM row cache in heterogeneous 3-D DRAM architecture using TSV, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 21, no. 1, pp. 1-13, Jan. 2013.
-
(2013)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.21
, Issue.1
, pp. 1-13
-
-
Woo, D.H.1
Seong, N.H.2
Lee, H.-H.3
-
30
-
-
84863550102
-
Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints
-
Jun.
-
J. Meng, K. Kawakami, and A. K. Coskun, "Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints, " in Proc. 49th ACM/EDAC/IEEE Design Autom. Conf. (DAC), Jun. 2012, pp. 648-655.
-
(2012)
Proc. 49th ACM/EDAC/IEEE Design Autom. Conf. (DAC)
, pp. 648-655
-
-
Meng, J.1
Kawakami, K.2
Coskun, A.K.3
-
33
-
-
84872181960
-
A high-throughput and low-latency interconnection network for multi-core clusters with 3-D stacked L2 tightly-coupled data memory
-
Oct.
-
K. Kang, L. Benini, and G. D. Micheli, "A high-throughput and low-latency interconnection network for multi-core clusters with 3-D stacked L2 tightly-coupled data memory, " in Proc. IEEE/IFIP 20th Int. Conf. VLSI Syst.-on-Chip (VLSI-SoC), Oct. 2012, pp. 283-286.
-
(2012)
Proc. IEEE/IFIP 20th Int. Conf. VLSI Syst.-on-Chip (VLSI-SoC)
, pp. 283-286
-
-
Kang, K.1
Benini, L.2
Micheli, G.D.3
-
34
-
-
79960430006
-
Ultra-high I/O density glass/silicon interposers for high bandwidth smart mobile applications
-
May/Jun.
-
G. Kumar, T. Bandyopadhyay, V. Sukumaran, V. Sundaram, S. K. Lim, and R. Tummala, "Ultra-high I/O density glass/silicon interposers for high bandwidth smart mobile applications, " in Proc. IEEE 61st Electron. Compon. Technol. Conf. (ECTC), May/Jun. 2011, pp. 217-223.
-
(2011)
Proc. IEEE 61st Electron. Compon. Technol. Conf. (ECTC)
, pp. 217-223
-
-
Kumar, G.1
Bandyopadhyay, T.2
Sukumaran, V.3
Sundaram, V.4
Lim, S.K.5
Tummala, R.6
-
35
-
-
84876043213
-
Centip3De: A 64-core, 3D stacked near-threshold system
-
Mar./Apr.
-
R. G. Dreslinski et al., "Centip3De: A 64-core, 3D stacked near-threshold system, " IEEE Micro, vol. 33, no. 2, pp. 8-16, Mar./Apr. 2013.
-
(2013)
IEEE Micro
, vol.33
, Issue.2
, pp. 8-16
-
-
Dreslinski, R.G.1
-
36
-
-
79960988678
-
Design techniques to facilitate processor power delivery in 3-D processor-DRAM integrated systems
-
Sep.
-
Q. Wu and T. Zhang, "Design techniques to facilitate processor power delivery in 3-D processor-DRAM integrated systems, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 9, pp. 1655-1666, Sep. 2011.
-
(2011)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.19
, Issue.9
, pp. 1655-1666
-
-
Wu, Q.1
Zhang, T.2
-
37
-
-
79953084400
-
Power delivery design for 3-D ICs using different through-silicon via (TSV) technologies
-
Apr.
-
N. H. Khan, S. M. Alam, and S. Hassoun, "Power delivery design for 3-D ICs using different through-silicon via (TSV) technologies, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 4, pp. 647-658, Apr. 2011.
-
(2011)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.19
, Issue.4
, pp. 647-658
-
-
Khan, N.H.1
Alam, S.M.2
Hassoun, S.3
-
38
-
-
84883374755
-
Process integration of 3D Si interposer with double-sided active chip attachments
-
May
-
P.-J. Tzeng et al., "Process integration of 3D Si interposer with double-sided active chip attachments, " in Proc. IEEE 63rd Electron. Compon. Technol. Conf. (ECTC), May 2013, pp. 86-93.
-
(2013)
Proc. IEEE 63rd Electron. Compon. Technol. Conf. (ECTC)
, pp. 86-93
-
-
Tzeng, P.-J.1
-
39
-
-
84866848204
-
Co-design and optimization of a 256-GB/s 3D IC package with a controller and stacked DRAM
-
May/Jun.
-
D. Secker, M. Ji, J. Wilson, S. Best, M. Li, and J. Cline, "Co-design and optimization of a 256-GB/s 3D IC package with a controller and stacked DRAM, " in Proc. IEEE 62nd Electron. Compon. Technol. Conf. (ECTC), May/Jun. 2012, pp. 857-864.
-
(2012)
Proc. IEEE 62nd Electron. Compon. Technol. Conf. (ECTC)
, pp. 857-864
-
-
Secker, D.1
Ji, M.2
Wilson, J.3
Best, S.4
Li, M.5
Cline, J.6
-
40
-
-
84930276824
-
TSV interposer: The most cost-effective integrator for 3D IC integration
-
ITRI, Hsinchu, Taiwan, Tech. Rep. ASME InterPACK2011-52189, Sep.
-
J. H. Lau, "TSV interposer: The most cost-effective integrator for 3D IC integration, " Electron. Optoelectron. Res. Lab., ITRI, Hsinchu, Taiwan, Tech. Rep. ASME InterPACK2011-52189, Sep. 2011.
-
(2011)
Electron. Optoelectron. Res. Lab.
-
-
Lau, J.H.1
-
42
-
-
84892909846
-
-
ARM Inc. Feb. [Online]. Available:
-
ARM Inc. (Feb. 2013). AMBA AXI and ACE Protocol Specification. [Online]. Available: http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.ihi0022e/index.html
-
(2013)
AMBA AXI, ACE Protocol Specification
-
-
-
43
-
-
80455158008
-
Clock distribution networks in 3-D integrated systems
-
Dec.
-
V. F. Pavlidis, I. Savidis, and E. G. Friedman, "Clock distribution networks in 3-D integrated systems, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 12, pp. 2256-2266, Dec. 2011.
-
(2011)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.19
, Issue.12
, pp. 2256-2266
-
-
Pavlidis, V.F.1
Savidis, I.2
Friedman, E.G.3
-
44
-
-
84879939796
-
Through-silicon via fault-tolerant clock networks for 3-D ICs
-
Jul.
-
C.-L. Lung, Y.-S. Su, H.-H. Huang, Y. Shi, and S.-C. Chang, "Through-silicon via fault-tolerant clock networks for 3-D ICs, " IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 32, no. 7, pp. 1100-1109, Jul. 2013.
-
(2013)
IEEE Trans. Comput.-Aided Design Integr. Circuits Syst.
, vol.32
, Issue.7
, pp. 1100-1109
-
-
Lung, C.-L.1
Su, Y.-S.2
Huang, H.-H.3
Shi, Y.4
Chang, S.-C.5
-
45
-
-
49749109850
-
Developing mesochronous synchronizers to enable 3D NoCs
-
Mar.
-
I. Loi, F. Angiolini, and L. Benini, "Developing mesochronous synchronizers to enable 3D NoCs, " in Proc. Design, Autom., Test Eur. (DATE), Mar. 2008, pp. 1414-1419.
-
(2008)
Proc. Design, Autom., Test Eur. (DATE)
, pp. 1414-1419
-
-
Loi, I.1
Angiolini, F.2
Benini, L.3
-
47
-
-
84859005993
-
TSV redundancy: Architecture and design issues in 3-D IC
-
Apr.
-
A.-C. Hsieh and T. T. Hwang, "TSV redundancy: Architecture and design issues in 3-D IC, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 20, no. 4, pp. 711-722, Apr. 2012.
-
(2012)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.20
, Issue.4
, pp. 711-722
-
-
Hsieh, A.-C.1
Hwang, T.T.2
-
48
-
-
84876795136
-
Study of through-silicon-via impact on the 3-D stacked IC layout
-
May
-
D. H. Kim, K. Athikulwongse, and S. K. Lim, "Study of through-silicon-via impact on the 3-D stacked IC layout, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 21, no. 5, pp. 862-874, May 2013.
-
(2013)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.21
, Issue.5
, pp. 862-874
-
-
Kim, D.H.1
Athikulwongse, K.2
Lim, S.K.3
-
49
-
-
84859464490
-
The gem5 simulator
-
Aug.
-
N. Binkert et al., "The gem5 simulator, " SIGARCH Comput. Archit. News, vol. 39, no. 2, pp. 1-7, Aug. 2011.
-
(2011)
SIGARCH Comput. Archit. News
, vol.39
, Issue.2
, pp. 1-7
-
-
Binkert, N.1
-
51
-
-
52949114554
-
A 4.6 Tbits/s 3.6 GHz single-cycle NoC router with a novel switch allocator in 65 nm CMOS
-
Oct.
-
A. Kumar, P. Kundu, A. P. Singhx, L.-S. Peh, and N. K. Jha, "A 4.6 Tbits/s 3.6 GHz single-cycle NoC router with a novel switch allocator in 65 nm CMOS, " in Proc. 25th ICCD, Oct. 2007, pp. 63-70.
-
(2007)
Proc. 25th ICCD
, pp. 63-70
-
-
Kumar, A.1
Kundu, P.2
Singhx, A.P.3
Peh, L.-S.4
Jha, N.K.5
-
52
-
-
79955711352
-
A 1.2 v 12.8 GB/s 2 Gb mobile wide-I/O dram with 4×128 I/Os using TSV-based stacking
-
Feb.
-
J.-S. Kim et al., "A 1.2 V 12.8 GB/s 2 Gb mobile wide-I/O dram with 4×128 I/Os using TSV-based stacking, " in IEEE Int. Solid-State Circuits Conf., Dig. Tech. Papers (ISSCC), Feb. 2011, pp. 496-498.
-
(2011)
IEEE Int. Solid-State Circuits Conf., Dig. Tech. Papers (ISSCC)
, pp. 496-498
-
-
Kim, J.-S.1
-
53
-
-
84872862469
-
Modeling and analysis of power distribution networks in 3-D ICs
-
Feb.
-
X. Hu, P. Du, J. F. Buckwalter, and C.-K. Cheng, "Modeling and analysis of power distribution networks in 3-D ICs, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 21, no. 2, pp. 354-366, Feb. 2013.
-
(2013)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.21
, Issue.2
, pp. 354-366
-
-
Hu, X.1
Du, P.2
Buckwalter, J.F.3
Cheng, C.-K.4
-
54
-
-
85028226190
-
3D-ICE: A compact thermal model for early-stage design of liquid-cooled ICs
-
Jun.
-
A. Sridhar, A. Vincenzi, D. Atienza, and T. Brunschwiler, "3D-ICE: A compact thermal model for early-stage design of liquid-cooled ICs, " IEEE Trans. Comput., vol. 62, no. 11, pp. 1-4, Jun. 2013.
-
(2013)
IEEE Trans. Comput.
, vol.62
, Issue.11
, pp. 1-4
-
-
Sridhar, A.1
Vincenzi, A.2
Atienza, D.3
Brunschwiler, T.4
-
55
-
-
84863545535
-
Platform 2012, a many-core computing accelerator for embedded SoCs: Performance evaluation of visual analytics applications
-
New York, NY, USA
-
D. Melpignano et al., "Platform 2012, a many-core computing accelerator for embedded SoCs: Performance evaluation of visual analytics applications, " in Proc. 49th Annu. Design Autom. Conf., New York, NY, USA, 2012, pp. 1137-1142.
-
(2012)
Proc. 49th Annu. Design Autom. Conf.
, pp. 1137-1142
-
-
Melpignano, D.1
-
56
-
-
84893966264
-
System-level thermal modeling for 3D circuits: Characterization with a 65 nm memory-on-logic circuit
-
Oct.
-
C. Santos, P. Vivet, D. Dutoit, P. Garrault, N. Peltier, and R. Reis, "System-level thermal modeling for 3D circuits: Characterization with a 65 nm memory-on-logic circuit, " in Proc. IEEE Int. 3D Syst. Integr. Conf. (3DIC), Oct. 2013, pp. 1-6.
-
(2013)
Proc. IEEE Int. 3D Syst. Integr. Conf. (3DIC)
, pp. 1-6
-
-
Santos, C.1
Vivet, P.2
Dutoit, D.3
Garrault, P.4
Peltier, N.5
Reis, R.6
-
57
-
-
84872855627
-
A study of tapered 3-D TSVs for power and thermal integrity
-
Feb.
-
A. Todri, S. Kundu, P. Girard, A. Bosio, L. Dilillo, and A. Virazel, "A study of tapered 3-D TSVs for power and thermal integrity, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 21, no. 2, pp. 306-319, Feb. 2013.
-
(2013)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.21
, Issue.2
, pp. 306-319
-
-
Todri, A.1
Kundu, S.2
Girard, P.3
Bosio, A.4
Dilillo, L.5
Virazel, A.6
-
59
-
-
84881090236
-
Fast thermal analysis on GPU for 3D ICs with integrated microchannel cooling
-
Aug.
-
Z. Feng and P. Li, "Fast thermal analysis on GPU for 3D ICs with integrated microchannel cooling, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 21, no. 8, pp. 1526-1539, Aug. 2013.
-
(2013)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.21
, Issue.8
, pp. 1526-1539
-
-
Feng, Z.1
Li, P.2
-
60
-
-
84893917245
-
Within-tier cooling and thermal isolation technologies for heterogeneous 3D ICs
-
Oct.
-
Y. Zhang, H. Oh, and M. S. Bakir, "Within-tier cooling and thermal isolation technologies for heterogeneous 3D ICs, " in Proc. IEEE Int. 3DIC, Oct. 2013, pp. 1-6.
-
(2013)
Proc. IEEE Int. 3DIC
, pp. 1-6
-
-
Zhang, Y.1
Oh, H.2
Bakir, M.S.3
-
61
-
-
85028221466
-
-
ARM Inc. (in German). [Online]. Available:
-
ARM Inc. Cortex-A12: The Successor to the Cortex-A9 is Available (in German). [Online]. Available: http://www.elektroniknet.de/halbleiter/prozessoren/artikel/100334/1/
-
Cortex-A12: The Successor to the Cortex-A9 Is Available
-
-
-
63
-
-
84912080008
-
-
Jul. [Online]. Available:
-
J. Shah. (Jul. 2012). Estimating Bond Wire Current-Carrying Capacity. [Online]. Available: https://www.idt.com/document/atc/power-systemsdesign-estimating-bond-w%ire-current-carrying-capacity
-
(2012)
Estimating Bond Wire Current-Carrying Capacity
-
-
Shah, J.1
-
64
-
-
39749179751
-
Issues on test optimization with known good dies and known defective dies-A statistical perspective
-
Oct.
-
B. N. Lee, L.-C. Wang, and M. S. Abadir, "Issues on test optimization with known good dies and known defective dies-A statistical perspective, " in Proc. IEEE Int. Test Conf. (ITC), Oct. 2006, pp. 1-10.
-
(2006)
Proc. IEEE Int. Test Conf. (ITC)
, pp. 1-10
-
-
Lee, B.N.1
Wang, L.-C.2
Abadir, M.S.3
-
65
-
-
80455157974
-
Performance and cost tradeoffs in metal-programmable structured ASICs (MPSAs)
-
Dec.
-
U. Ahmed, G. G. F. Lemieux, and S. J. E. Wilton, "Performance and cost tradeoffs in metal-programmable structured ASICs (MPSAs), " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 12, pp. 2195-2208, Dec. 2011.
-
(2011)
IEEE Trans. Very Large Scale Integr. (VLSI) Syst.
, vol.19
, Issue.12
, pp. 2195-2208
-
-
Ahmed, U.1
Lemieux, G.G.F.2
Wilton, S.J.E.3
-
66
-
-
64549109716
-
A 3D prototyping chip based on a wafer-level stacking technology
-
Piscataway, NJ, USA, Jan.
-
N. Miyakawa, "A 3D prototyping chip based on a wafer-level stacking technology, " in Proc. Asia South Pacific Design Autom. Conf. (ASPDAC), Piscataway, NJ, USA, Jan. 2009, pp. 416-420.
-
(2009)
Proc. Asia South Pacific Design Autom. Conf. (ASPDAC)
, pp. 416-420
-
-
Miyakawa, N.1
-
67
-
-
33746910456
-
Enabling SOI-based assembly technology for three-dimensional (3D) integrated circuits (ICs)
-
Dec.
-
A. W. Topol et al., "Enabling SOI-based assembly technology for three-dimensional (3D) integrated circuits (ICs), " in IEEE Int. Electron Devices Meeting (IEDM) Tech. Dig., Dec. 2005, pp. 352-355.
-
(2005)
IEEE Int. Electron Devices Meeting (IEDM) Tech. Dig.
, pp. 352-355
-
-
Topol, A.W.1
-
68
-
-
33646021322
-
Methods to reduce lithography costs with reticle engineering
-
R. S. Mackay, H. Kamberian, and Y. Zhang, "Methods to reduce lithography costs with reticle engineering, " Microelectron. Eng., vol. 83, nos. 4-9, pp. 914-918, 2006.
-
(2006)
Microelectron. Eng.
, vol.83
, Issue.4-9
, pp. 914-918
-
-
Mackay, R.S.1
Kamberian, H.2
Zhang, Y.3
-
69
-
-
0345303723
-
Cost effective lithography approaches for ASIC circuits
-
Jun.
-
D. Pramanik, H. H. Kamberian, C. J. Progler, M. Sanie, and D. Pinto, "Cost effective lithography approaches for ASIC circuits, " Proc. SPIE, vol. 5043, pp. 142-152, Jun. 2003.
-
(2003)
Proc. SPIE
, vol.5043
, pp. 142-152
-
-
Pramanik, D.1
Kamberian, H.H.2
Progler, C.J.3
Sanie, M.4
Pinto, D.5
-
70
-
-
80055034499
-
Cost-driven mask strategies considering parametric yield, defectivity, and production volume
-
K. Jeong, A. B. Kahng, and C. J. Progler, "Cost-driven mask strategies considering parametric yield, defectivity, and production volume, " J. Micro/Nanolithogr., MEMS, MOEMS, vol. 10, no. 3, pp. 033021-1-033021-12, 2011.
-
(2011)
J. Micro/Nanolithogr., MEMS, MOEMS
, vol.10
, Issue.3
, pp. 0330211-03302112
-
-
Jeong, K.1
Kahng, A.B.2
Progler, C.J.3
|