메뉴 건너뛰기




Volumn 23, Issue 8, 2015, Pages 1485-1498

A Modular Shared L2 Memory Design for 3-D Integration

Author keywords

3 D integration; nonuniform memory access (NUMA); physical implementation; tightly coupled data memory

Indexed keywords

BENCHMARKING; CLOCKS; CLOSED LOOP CONTROL SYSTEMS; COST REDUCTION; DISTRIBUTED COMPUTER SYSTEMS; ELECTRONICS PACKAGING; INTEGRATED CIRCUIT DESIGN; INTEGRATED CIRCUIT INTERCONNECTS; INTEGRATED CIRCUIT MANUFACTURE; INTEGRATION; MICROPROCESSOR CHIPS; NETWORK-ON-CHIP; THREE DIMENSIONAL INTEGRATED CIRCUITS; VLSI CIRCUITS;

EID: 85027922506     PISSN: 10638210     EISSN: None     Source Type: Journal    
DOI: 10.1109/TVLSI.2014.2340013     Document Type: Article
Times cited : (5)

References (70)
  • 1
    • 28344452134 scopus 로고    scopus 로고
    • Demystifying 3D ICs: The pros and cons of going vertical
    • Nov./Dec.
    • W. Davis et al., "Demystifying 3D ICs: The pros and cons of going vertical, " IEEE Design Test Comput., vol. 22, no. 6, pp. 498-510, Nov./Dec. 2005.
    • (2005) IEEE Design Test Comput. , vol.22 , Issue.6 , pp. 498-510
    • Davis, W.1
  • 2
    • 84893942430 scopus 로고    scopus 로고
    • Yole-Développement [Online]. Available:
    • Yole-Développement. (2012). 3D IC & TSV Interconnects 2012 Business Update. [Online]. Available: http://www.i-micronews.com/reports/3dictsv-interconnects-2012-business%-update/8/302/
    • (2012) 3D IC & TSV Interconnects 2012 Business Update
  • 3
    • 85028207907 scopus 로고    scopus 로고
    • Mar [Online]. Available:
    • E. J. Vardaman. (Mar. 2013). 3D IC with TSV: Status and Developments. [Online]. Available: http://connection.ebscohost.com/c/articles/86024505/3d-ic-tsv-status-developments
    • (2013) 3D IC with TSV: Status and Developments
    • Vardaman, E.J.1
  • 4
    • 85028213255 scopus 로고    scopus 로고
    • Freescale-Semiconductor Jan. [Online]. Available:
    • Freescale-Semiconductor. (Jan. 2013). Freescale's Redistributed Chip Packaging. [Online]. Available: http://www.freescale.com/files/shared/doc/reports-presentations/rcppresentation.pdf
    • (2013) Freescale's Redistributed Chip Packaging
  • 5
    • 85028228627 scopus 로고    scopus 로고
    • A Star-IME Nov. [Online]. Available:
    • A-Star-IME. (Nov. 2010). TSV Silicon Interposer for High I/O Applications. [Online]. Available: http://www.ime.a-star.edu.sg/uploadfiles/3-proposal-tsv-interposer.pdf
    • (2010) TSV Silicon Interposer for High I/O Applications
  • 6
    • 84893965040 scopus 로고    scopus 로고
    • A high-performance multiported L2 memory IP for scalable three-dimensional integration
    • Oct.
    • E. Azarkhish, I. Loi, and L. Benini, "A high-performance multiported L2 memory IP for scalable three-dimensional integration, " in Proc. IEEE Int. 3D Syst. Integr. Conf. (3DIC), Oct. 2013, pp. 1-8.
    • (2013) Proc. IEEE Int. 3D Syst. Integr. Conf. (3DIC) , pp. 1-8
    • Azarkhish, E.1    Loi, I.2    Benini, L.3
  • 8
    • 85028214939 scopus 로고    scopus 로고
    • Aug. [Online]. Available:
    • F. Ferro. (Aug. 2013). DRAM Remains the Status Quo. [Online]. Available: http://semiengineering.com/dram-remains-the-status-quo/
    • (2013) DRAM Remains the Status Quo
    • Ferro, F.1
  • 9
    • 85028201371 scopus 로고    scopus 로고
    • Yole-Dévelopment Feb. [Online]. Available:
    • Yole-Dévelopment. (Feb. 2013). A Reassessment of the Use of Wide-I/O Memory in Smartphones. [Online]. Available: http://www.i-micronews.com/news/reassessment-use-wide-io-memorysmartphones, 10096.html
    • (2013) A Reassessment of the Use of Wide-I/O Memory in Smartphones
  • 13
    • 84883288792 scopus 로고    scopus 로고
    • A case for three-dimensional stacking of tightly coupled data memories over multi-core clusters using low-latency interconnects
    • Sep.
    • E. Azarkhish, I. Loi, and L. Benini, "A case for three-dimensional stacking of tightly coupled data memories over multi-core clusters using low-latency interconnects, " IET Comput. Digit. Techn., vol. 7, no. 5, pp. 191-199, Sep. 2013.
    • (2013) IET Comput. Digit. Techn. , vol.7 , Issue.5 , pp. 191-199
    • Azarkhish, E.1    Loi, I.2    Benini, L.3
  • 14
    • 84864961087 scopus 로고    scopus 로고
    • Rethinking the wirelength benefit of 3-D integration
    • Dec.
    • W.-K. Mak and C. Chu, "Rethinking the wirelength benefit of 3-D integration, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 20, no. 12, pp. 2346-2351, Dec. 2012.
    • (2012) IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol.20 , Issue.12 , pp. 2346-2351
    • Mak, W.-K.1    Chu, C.2
  • 15
    • 84910679163 scopus 로고    scopus 로고
    • [Online]. Available: accessed 2013
    • Snapdragon 800 Processors. [Online]. Available: http://www. qualcomm.com/, accessed 2013.
    • Snapdragon 800 Processors
  • 16
    • 85028194663 scopus 로고    scopus 로고
    • [Online]. Available: accessed 2013
    • Samsung Exynos 5 Octa Processors. [Online]. Available: http://www.samsung.com/, accessed 2013.
    • Samsung Exynos 5 Octa Processors
  • 17
    • 85028217993 scopus 로고    scopus 로고
    • [Online]. Available: accessed 2013
    • Keystone II Multicore DSP. [Online]. Available: http://www.ti.com/, accessed 2013.
    • Keystone II Multicore DSP
  • 18
    • 85028220973 scopus 로고    scopus 로고
    • Dec. [Online]. Available:
    • A. Syed. (Dec. 2012). Emerging IC Packaging Technologies. [Online]. Available: http://www.smta.org/chapters/files/arizonasonora-amkor-smta-az-expo-2012dec4.pdf
    • (2012) Emerging IC Packaging Technologies
    • Syed, A.1
  • 19
    • 85028199397 scopus 로고    scopus 로고
    • New 3D packaging approach for next generation high performance DRAM
    • San Jose, CA, USA, Tech. Rep.
    • V. Solberg, S. McElrea, and W. Zohni, "New 3D packaging approach for next generation high performance DRAM, " Invensas Corporation, San Jose, CA, USA, Tech. Rep., 2012.
    • (2012) Invensas Corporation
    • Solberg, V.1    McElrea, S.2    Zohni, W.3
  • 21
    • 52649125840 scopus 로고    scopus 로고
    • 3D-stacked memory architectures for multi-core processors
    • Jun.
    • G. H. Loh, "3D-stacked memory architectures for multi-core processors, " in Proc. 35th Int. Symp. Comput. Archit. (ISCA), Jun. 2008, pp. 453-464.
    • (2008) Proc. 35th Int. Symp. Comput. Archit. (ISCA) , pp. 453-464
    • Loh, G.H.1
  • 25
    • 84898063371 scopus 로고    scopus 로고
    • 13.1 a 1 Gb 2 GHz embedded DRAM in 22 nm tri-gate CMOS technology
    • Dig. Tech. Papers (ISSCC), Feb.
    • F. Hamzaoglu et al., "13.1 a 1 Gb 2 GHz embedded DRAM in 22 nm tri-gate CMOS technology, " in IEEE Int. Solid-State Circuits Conf., Dig. Tech. Papers (ISSCC), Feb. 2014, pp. 230-231.
    • (2014) IEEE Int. Solid-State Circuits Conf. , pp. 230-231
    • Hamzaoglu, F.1
  • 27
    • 84860684461 scopus 로고    scopus 로고
    • A 4.6 GHz 162 Mb SRAM design in 22 nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry
    • Feb.
    • E. Karl et al., "A 4.6 GHz 162 Mb SRAM design in 22 nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry, " in IEEE Int. Solid-State Circuits Conf., Dig. Tech. Papers (ISSCC), Feb. 2012, pp. 230-232.
    • (2012) IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers (ISSCC) , pp. 230-232
    • Karl, E.1
  • 28
    • 84880300255 scopus 로고    scopus 로고
    • Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized EDRAM
    • Feb.
    • M.-T. Chang, P. Rosenfeld, S.-L. Lu, and B. Jacob, "Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized EDRAM, " in Proc. IEEE 19th Int. Symp. High Perform. Comput. Archit. (HPCA), Feb. 2013, pp. 143-154.
    • (2013) Proc. IEEE 19th Int. Symp. High Perform. Comput. Archit. (HPCA) , pp. 143-154
    • Chang, M.-T.1    Rosenfeld, P.2    Lu, S.-L.3    Jacob, B.4
  • 29
    • 83155177008 scopus 로고    scopus 로고
    • Pragmatic integration of an SRAM row cache in heterogeneous 3-D DRAM architecture using TSV
    • Jan.
    • D. H. Woo, N. H. Seong, and H.-H. Lee, "Pragmatic integration of an SRAM row cache in heterogeneous 3-D DRAM architecture using TSV, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 21, no. 1, pp. 1-13, Jan. 2013.
    • (2013) IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol.21 , Issue.1 , pp. 1-13
    • Woo, D.H.1    Seong, N.H.2    Lee, H.-H.3
  • 30
    • 84863550102 scopus 로고    scopus 로고
    • Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints
    • Jun.
    • J. Meng, K. Kawakami, and A. K. Coskun, "Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints, " in Proc. 49th ACM/EDAC/IEEE Design Autom. Conf. (DAC), Jun. 2012, pp. 648-655.
    • (2012) Proc. 49th ACM/EDAC/IEEE Design Autom. Conf. (DAC) , pp. 648-655
    • Meng, J.1    Kawakami, K.2    Coskun, A.K.3
  • 33
    • 84872181960 scopus 로고    scopus 로고
    • A high-throughput and low-latency interconnection network for multi-core clusters with 3-D stacked L2 tightly-coupled data memory
    • Oct.
    • K. Kang, L. Benini, and G. D. Micheli, "A high-throughput and low-latency interconnection network for multi-core clusters with 3-D stacked L2 tightly-coupled data memory, " in Proc. IEEE/IFIP 20th Int. Conf. VLSI Syst.-on-Chip (VLSI-SoC), Oct. 2012, pp. 283-286.
    • (2012) Proc. IEEE/IFIP 20th Int. Conf. VLSI Syst.-on-Chip (VLSI-SoC) , pp. 283-286
    • Kang, K.1    Benini, L.2    Micheli, G.D.3
  • 35
    • 84876043213 scopus 로고    scopus 로고
    • Centip3De: A 64-core, 3D stacked near-threshold system
    • Mar./Apr.
    • R. G. Dreslinski et al., "Centip3De: A 64-core, 3D stacked near-threshold system, " IEEE Micro, vol. 33, no. 2, pp. 8-16, Mar./Apr. 2013.
    • (2013) IEEE Micro , vol.33 , Issue.2 , pp. 8-16
    • Dreslinski, R.G.1
  • 36
    • 79960988678 scopus 로고    scopus 로고
    • Design techniques to facilitate processor power delivery in 3-D processor-DRAM integrated systems
    • Sep.
    • Q. Wu and T. Zhang, "Design techniques to facilitate processor power delivery in 3-D processor-DRAM integrated systems, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 9, pp. 1655-1666, Sep. 2011.
    • (2011) IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol.19 , Issue.9 , pp. 1655-1666
    • Wu, Q.1    Zhang, T.2
  • 37
    • 79953084400 scopus 로고    scopus 로고
    • Power delivery design for 3-D ICs using different through-silicon via (TSV) technologies
    • Apr.
    • N. H. Khan, S. M. Alam, and S. Hassoun, "Power delivery design for 3-D ICs using different through-silicon via (TSV) technologies, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 4, pp. 647-658, Apr. 2011.
    • (2011) IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol.19 , Issue.4 , pp. 647-658
    • Khan, N.H.1    Alam, S.M.2    Hassoun, S.3
  • 38
    • 84883374755 scopus 로고    scopus 로고
    • Process integration of 3D Si interposer with double-sided active chip attachments
    • May
    • P.-J. Tzeng et al., "Process integration of 3D Si interposer with double-sided active chip attachments, " in Proc. IEEE 63rd Electron. Compon. Technol. Conf. (ECTC), May 2013, pp. 86-93.
    • (2013) Proc. IEEE 63rd Electron. Compon. Technol. Conf. (ECTC) , pp. 86-93
    • Tzeng, P.-J.1
  • 40
    • 84930276824 scopus 로고    scopus 로고
    • TSV interposer: The most cost-effective integrator for 3D IC integration
    • ITRI, Hsinchu, Taiwan, Tech. Rep. ASME InterPACK2011-52189, Sep.
    • J. H. Lau, "TSV interposer: The most cost-effective integrator for 3D IC integration, " Electron. Optoelectron. Res. Lab., ITRI, Hsinchu, Taiwan, Tech. Rep. ASME InterPACK2011-52189, Sep. 2011.
    • (2011) Electron. Optoelectron. Res. Lab.
    • Lau, J.H.1
  • 41
  • 42
    • 84892909846 scopus 로고    scopus 로고
    • ARM Inc. Feb. [Online]. Available:
    • ARM Inc. (Feb. 2013). AMBA AXI and ACE Protocol Specification. [Online]. Available: http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.ihi0022e/index.html
    • (2013) AMBA AXI, ACE Protocol Specification
  • 47
    • 84859005993 scopus 로고    scopus 로고
    • TSV redundancy: Architecture and design issues in 3-D IC
    • Apr.
    • A.-C. Hsieh and T. T. Hwang, "TSV redundancy: Architecture and design issues in 3-D IC, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 20, no. 4, pp. 711-722, Apr. 2012.
    • (2012) IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol.20 , Issue.4 , pp. 711-722
    • Hsieh, A.-C.1    Hwang, T.T.2
  • 49
    • 84859464490 scopus 로고    scopus 로고
    • The gem5 simulator
    • Aug.
    • N. Binkert et al., "The gem5 simulator, " SIGARCH Comput. Archit. News, vol. 39, no. 2, pp. 1-7, Aug. 2011.
    • (2011) SIGARCH Comput. Archit. News , vol.39 , Issue.2 , pp. 1-7
    • Binkert, N.1
  • 51
    • 52949114554 scopus 로고    scopus 로고
    • A 4.6 Tbits/s 3.6 GHz single-cycle NoC router with a novel switch allocator in 65 nm CMOS
    • Oct.
    • A. Kumar, P. Kundu, A. P. Singhx, L.-S. Peh, and N. K. Jha, "A 4.6 Tbits/s 3.6 GHz single-cycle NoC router with a novel switch allocator in 65 nm CMOS, " in Proc. 25th ICCD, Oct. 2007, pp. 63-70.
    • (2007) Proc. 25th ICCD , pp. 63-70
    • Kumar, A.1    Kundu, P.2    Singhx, A.P.3    Peh, L.-S.4    Jha, N.K.5
  • 52
    • 79955711352 scopus 로고    scopus 로고
    • A 1.2 v 12.8 GB/s 2 Gb mobile wide-I/O dram with 4×128 I/Os using TSV-based stacking
    • Feb.
    • J.-S. Kim et al., "A 1.2 V 12.8 GB/s 2 Gb mobile wide-I/O dram with 4×128 I/Os using TSV-based stacking, " in IEEE Int. Solid-State Circuits Conf., Dig. Tech. Papers (ISSCC), Feb. 2011, pp. 496-498.
    • (2011) IEEE Int. Solid-State Circuits Conf., Dig. Tech. Papers (ISSCC) , pp. 496-498
    • Kim, J.-S.1
  • 54
    • 85028226190 scopus 로고    scopus 로고
    • 3D-ICE: A compact thermal model for early-stage design of liquid-cooled ICs
    • Jun.
    • A. Sridhar, A. Vincenzi, D. Atienza, and T. Brunschwiler, "3D-ICE: A compact thermal model for early-stage design of liquid-cooled ICs, " IEEE Trans. Comput., vol. 62, no. 11, pp. 1-4, Jun. 2013.
    • (2013) IEEE Trans. Comput. , vol.62 , Issue.11 , pp. 1-4
    • Sridhar, A.1    Vincenzi, A.2    Atienza, D.3    Brunschwiler, T.4
  • 55
    • 84863545535 scopus 로고    scopus 로고
    • Platform 2012, a many-core computing accelerator for embedded SoCs: Performance evaluation of visual analytics applications
    • New York, NY, USA
    • D. Melpignano et al., "Platform 2012, a many-core computing accelerator for embedded SoCs: Performance evaluation of visual analytics applications, " in Proc. 49th Annu. Design Autom. Conf., New York, NY, USA, 2012, pp. 1137-1142.
    • (2012) Proc. 49th Annu. Design Autom. Conf. , pp. 1137-1142
    • Melpignano, D.1
  • 59
    • 84881090236 scopus 로고    scopus 로고
    • Fast thermal analysis on GPU for 3D ICs with integrated microchannel cooling
    • Aug.
    • Z. Feng and P. Li, "Fast thermal analysis on GPU for 3D ICs with integrated microchannel cooling, " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 21, no. 8, pp. 1526-1539, Aug. 2013.
    • (2013) IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol.21 , Issue.8 , pp. 1526-1539
    • Feng, Z.1    Li, P.2
  • 60
    • 84893917245 scopus 로고    scopus 로고
    • Within-tier cooling and thermal isolation technologies for heterogeneous 3D ICs
    • Oct.
    • Y. Zhang, H. Oh, and M. S. Bakir, "Within-tier cooling and thermal isolation technologies for heterogeneous 3D ICs, " in Proc. IEEE Int. 3DIC, Oct. 2013, pp. 1-6.
    • (2013) Proc. IEEE Int. 3DIC , pp. 1-6
    • Zhang, Y.1    Oh, H.2    Bakir, M.S.3
  • 61
    • 85028221466 scopus 로고    scopus 로고
    • ARM Inc. (in German). [Online]. Available:
    • ARM Inc. Cortex-A12: The Successor to the Cortex-A9 is Available (in German). [Online]. Available: http://www.elektroniknet.de/halbleiter/prozessoren/artikel/100334/1/
    • Cortex-A12: The Successor to the Cortex-A9 Is Available
  • 63
    • 84912080008 scopus 로고    scopus 로고
    • Jul. [Online]. Available:
    • J. Shah. (Jul. 2012). Estimating Bond Wire Current-Carrying Capacity. [Online]. Available: https://www.idt.com/document/atc/power-systemsdesign-estimating-bond-w%ire-current-carrying-capacity
    • (2012) Estimating Bond Wire Current-Carrying Capacity
    • Shah, J.1
  • 64
    • 39749179751 scopus 로고    scopus 로고
    • Issues on test optimization with known good dies and known defective dies-A statistical perspective
    • Oct.
    • B. N. Lee, L.-C. Wang, and M. S. Abadir, "Issues on test optimization with known good dies and known defective dies-A statistical perspective, " in Proc. IEEE Int. Test Conf. (ITC), Oct. 2006, pp. 1-10.
    • (2006) Proc. IEEE Int. Test Conf. (ITC) , pp. 1-10
    • Lee, B.N.1    Wang, L.-C.2    Abadir, M.S.3
  • 65
    • 80455157974 scopus 로고    scopus 로고
    • Performance and cost tradeoffs in metal-programmable structured ASICs (MPSAs)
    • Dec.
    • U. Ahmed, G. G. F. Lemieux, and S. J. E. Wilton, "Performance and cost tradeoffs in metal-programmable structured ASICs (MPSAs), " IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 12, pp. 2195-2208, Dec. 2011.
    • (2011) IEEE Trans. Very Large Scale Integr. (VLSI) Syst. , vol.19 , Issue.12 , pp. 2195-2208
    • Ahmed, U.1    Lemieux, G.G.F.2    Wilton, S.J.E.3
  • 66
    • 64549109716 scopus 로고    scopus 로고
    • A 3D prototyping chip based on a wafer-level stacking technology
    • Piscataway, NJ, USA, Jan.
    • N. Miyakawa, "A 3D prototyping chip based on a wafer-level stacking technology, " in Proc. Asia South Pacific Design Autom. Conf. (ASPDAC), Piscataway, NJ, USA, Jan. 2009, pp. 416-420.
    • (2009) Proc. Asia South Pacific Design Autom. Conf. (ASPDAC) , pp. 416-420
    • Miyakawa, N.1
  • 67
    • 33746910456 scopus 로고    scopus 로고
    • Enabling SOI-based assembly technology for three-dimensional (3D) integrated circuits (ICs)
    • Dec.
    • A. W. Topol et al., "Enabling SOI-based assembly technology for three-dimensional (3D) integrated circuits (ICs), " in IEEE Int. Electron Devices Meeting (IEDM) Tech. Dig., Dec. 2005, pp. 352-355.
    • (2005) IEEE Int. Electron Devices Meeting (IEDM) Tech. Dig. , pp. 352-355
    • Topol, A.W.1
  • 68
    • 33646021322 scopus 로고    scopus 로고
    • Methods to reduce lithography costs with reticle engineering
    • R. S. Mackay, H. Kamberian, and Y. Zhang, "Methods to reduce lithography costs with reticle engineering, " Microelectron. Eng., vol. 83, nos. 4-9, pp. 914-918, 2006.
    • (2006) Microelectron. Eng. , vol.83 , Issue.4-9 , pp. 914-918
    • Mackay, R.S.1    Kamberian, H.2    Zhang, Y.3
  • 69
    • 0345303723 scopus 로고    scopus 로고
    • Cost effective lithography approaches for ASIC circuits
    • Jun.
    • D. Pramanik, H. H. Kamberian, C. J. Progler, M. Sanie, and D. Pinto, "Cost effective lithography approaches for ASIC circuits, " Proc. SPIE, vol. 5043, pp. 142-152, Jun. 2003.
    • (2003) Proc. SPIE , vol.5043 , pp. 142-152
    • Pramanik, D.1    Kamberian, H.H.2    Progler, C.J.3    Sanie, M.4    Pinto, D.5
  • 70
    • 80055034499 scopus 로고    scopus 로고
    • Cost-driven mask strategies considering parametric yield, defectivity, and production volume
    • K. Jeong, A. B. Kahng, and C. J. Progler, "Cost-driven mask strategies considering parametric yield, defectivity, and production volume, " J. Micro/Nanolithogr., MEMS, MOEMS, vol. 10, no. 3, pp. 033021-1-033021-12, 2011.
    • (2011) J. Micro/Nanolithogr., MEMS, MOEMS , vol.10 , Issue.3 , pp. 0330211-03302112
    • Jeong, K.1    Kahng, A.B.2    Progler, C.J.3


* 이 정보는 Elsevier사의 SCOPUS DB에서 KISTI가 분석하여 추출한 것입니다.