-
1
-
-
10444224244
-
Prescient instruction prefetch
-
Tor Aamodt, Pedro Marcuello, Paul Chow, Per Hammarlund, and Hong Wang. 2002. Prescient instruction prefetch. In Workshop on Multithreaded Execution, Architecture and Compilation. 2-10.
-
(2002)
Workshop on Multithreaded Execution, Architecture and Compilation
, pp. 2-10
-
-
Aamodt, T.1
Marcuello, P.2
Chow, P.3
Hammarlund, P.4
Wang, H.5
-
2
-
-
34547676257
-
Interactions between compression and prefetching in chip multiprocessors
-
Alaa R. Alameldeen and David A. Wood. 2007. Interactions between compression and prefetching in chip multiprocessors. In HPCA. 228-239.
-
(2007)
HPCA
, pp. 228-239
-
-
Alameldeen, A.R.1
Wood, D.A.2
-
3
-
-
84857828566
-
Abs: A low-cost adaptive controller for prefetching in a banked shared last-level cache
-
2012
-
Jorge Albericio, Rubén Gran, Pablo Ibánez, Víctor Viñals, and Jose María Llabería. 2012. ABS: A low-cost adaptive controller for prefetching in a banked shared last-level cache. ACM Trans. Arch. Code Opt. 8, 4 (2012), 19.
-
(2012)
ACM Trans. Arch. Code Opt
, vol.8
, Issue.4
, pp. 19
-
-
Albericio, J.1
Gran, R.2
Ibánez, P.3
Viñals, V.4
Llabería, J.M.5
-
7
-
-
34548021671
-
Performance driven data cache prefetching in a dynamic software optimization system
-
Jean Christophe Beyler and Philippe Clauss. 2007. Performance driven data cache prefetching in a dynamic software optimization system. In International Conference on Supercomputing. 202-209.
-
(2007)
International Conference on Supercomputing
, pp. 202-209
-
-
Beyler, J.C.1
Clauss, P.2
-
8
-
-
0014814325
-
Space/time trade-offs in hash coding with allowable errors
-
1970
-
Burton H. Bloom. 1970. Space/time trade-offs in hash coding with allowable errors. Commun. ACM 13, 7 (1970), 422-426.
-
(1970)
Commun. ACM
, vol.13
, Issue.7
, pp. 422-426
-
-
Bloom, B.H.1
-
11
-
-
84867490427
-
Introducing hierarchy- Awareness in replacement and bypass algorithms for last-level caches
-
Mainak Chaudhuri, Jayesh Gaur, Nithiyanandan Bashyam, Sreenivas Subramoney, and Joseph Nuzman. 2012. Introducing hierarchy- Awareness in replacement and bypass algorithms for last-level caches. In International Conference on Parallel Architectures and Compilation Techniques. 293-304.
-
(2012)
International Conference on Parallel Architectures and Compilation Techniques
, pp. 293-304
-
-
Chaudhuri, M.1
Gaur, J.2
Bashyam, N.3
Subramoney, S.4
Nuzman, J.5
-
13
-
-
34548432523
-
Improving hash join performance through prefetching
-
2007
-
Shimin Chen, Anastassia Ailamaki, Phillip B. Gibbons, and Todd C. Mowry. 2007. Improving hash join performance through prefetching. ACM Trans. Database Syst. 32, 3 (2007), 17.
-
(2007)
ACM Trans. Database Syst
, vol.32
, Issue.3
, pp. 17
-
-
Chen, S.1
Ailamaki, A.2
Gibbons, P.B.3
Mowry, T.C.4
-
14
-
-
0029308368
-
Effective hardware-based data prefetching for high-performance processors
-
1995
-
Tien-Fu Chen and Jean-Loup Baer. 1995. Effective hardware-based data prefetching for high-performance processors. IEEE Trans. Comput. 44, 5 (1995), 609-623.
-
(1995)
IEEE Trans. Comput
, vol.44
, Issue.5
, pp. 609-623
-
-
Chen, T.-F.1
Baer, J.-L.2
-
15
-
-
64949144540
-
Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs
-
Xi E. Chen and Tor M. Aamodt. 2008. Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs. In International Symposium on Micro architecture. 59-70.
-
(2008)
International Symposium on Micro Architecture
, pp. 59-70
-
-
Chen, X.E.1
Aamodt, T.M.2
-
16
-
-
0036038136
-
Dynamic hot data stream prefetching for general-purpose programs
-
Trishul M. Chilimbi and Martin Hirzel. 2002. Dynamic hot data stream prefetching for general-purpose programs. ACM SIGPLAN Notices 37, 5 (2002), 199-209.
-
(2002)
ACM SIGPLAN Notices
, vol.37
, Issue.5
, pp. 199-209
-
-
Chilimbi, T.M.1
Hirzel, M.2
-
17
-
-
47349132413
-
Low-cost epoch-based correlation prefetching for commercial applications
-
Yuan Chou. 2007. Low-cost epoch-based correlation prefetching for commercial applications. In International Symposium on Micro architecture. 301-313.
-
(2007)
International Symposium on Micro Architecture
, pp. 301-313
-
-
Chou, Y.1
-
20
-
-
0034839033
-
Speculative precomputation: Long-range prefetching of delinquent loads
-
Jamison D. Collins, Hong Wang, Dean M. Tullsen, Christopher Hughes, Yong-Fong Lee, Dan Lavery, and John P. Shen. 2001b. Speculative precomputation: Long-range prefetching of delinquent loads. In International Symposium on Computer Architecture. 14-25.
-
(2001)
International Symposium on Computer Architecture
, pp. 14-25
-
-
Collins, J.D.1
Wang, H.2
Tullsen, D.M.3
Hughes, C.4
Lee, Y.-F.5
Lavery, D.6
Shen, J.P.7
-
21
-
-
84862059707
-
S/dc: A storage and energy efficient data prefetcher
-
Xianglei Dang, Xiaoyin Wang, Dong Tong, Junlin Lu, Jiangfang Yi, and Keyi Wang. 2012. S/DC: A storage and energy efficient data prefetcher. In Conference on Design, Automation and Test in Europe. 461-466.
-
(2012)
Conference on Design, Automation and Test in Europe
, pp. 461-466
-
-
Dang, X.1
Wang, X.2
Tong, D.3
Lu, J.4
Yi, J.5
Wang, K.6
-
22
-
-
84877756775
-
An adaptive filtering mechanism for energy efficient data prefetching
-
Xianglei Dang, Xiaoyin Wang, Dong Tong, Zichao Xie, Lingda Li, and Keyi Wang. 2013. An adaptive filtering mechanism for energy efficient data prefetching. In Asia and South Pacific Design Automation Conference (ASP-DAC). 332-337.
-
(2013)
Asia and South Pacific Design Automation Conference (ASP-DAC)
, pp. 332-337
-
-
Dang, X.1
Wang, X.2
Tong, D.3
Xie, Z.4
Li, L.5
Wang, K.6
-
26
-
-
13844277012
-
Exploring the limits of prefetching
-
2005
-
Philip G. Emma, Allan Hartstein, Thomas R. Puzak, and Viji Srinivasan. 2005. Exploring the limits of prefetching. IBM J. Res. Dev. 49, 1 (2005), 127-144.
-
(2005)
IBM J. Res. Dev
, vol.49
, Issue.1
, pp. 127-144
-
-
Emma, P.G.1
Hartstein, A.2
Puzak, T.R.3
Srinivasan, V.4
-
28
-
-
84902193542
-
A primer on hardware prefetching
-
2014
-
Babak Falsafi and Thomas F. Wenisch. 2014. A primer on hardware prefetching. Synth. Lect. Comput. Arch. 9, 1 (2014), 1-67.
-
(2014)
Synth. Lect. Comput. Arch
, vol.9
, Issue.1
, pp. 1-67
-
-
Falsafi, B.1
Wenisch, T.F.2
-
31
-
-
66749100028
-
Temporal instruction fetch streaming
-
Michael Ferdman, Thomas F. Wenisch, Anastasia Ailamaki, Babak Falsafi, and Andreas Moshovos. 2008. Temporal instruction fetch streaming. In International Symposium on Micro architecture. 1-10.
-
(2008)
International Symposium on Micro Architecture
, pp. 1-10
-
-
Ferdman, M.1
Wenisch, T.F.2
Ailamaki, A.3
Falsafi, B.4
Moshovos, A.5
-
35
-
-
79251600625
-
Energy-efficient hardware data prefetching
-
2011
-
Yao Guo, Pritish Narayanan, Mahmoud Abdullah Bennaser, Saurabh Chheda, and Csaba Andras Moritz. 2011. Energy-efficient hardware data prefetching. IEEE Trans. Very Large Scale Integr. Syst. 19, 2 (2011), 250-263.
-
(2011)
IEEE Trans. Very Large Scale Integr. Syst
, vol.19
, Issue.2
, pp. 250-263
-
-
Guo, Y.1
Narayanan, P.2
Bennaser, M.A.3
Chheda, S.4
Moritz, C.A.5
-
38
-
-
84867407168
-
Reducing cache pollution of threaded prefetching by controlling prefetch distance
-
Yan Huang, Zhi-min Gu, Jie Tang, Min Cai, Jianxun Zhang, and Ninghan Zheng. 2012. Reducing cache pollution of threaded prefetching by controlling prefetch distance. In International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW). 1812-1819.
-
(2012)
International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW)
, pp. 1812-1819
-
-
Huang, Y.1
Gu, Z.-M.2
Tang, J.3
Cai, M.4
Zhang, J.5
Zheng, N.6
-
39
-
-
14944355925
-
Memory-side prefetching for linked data structures for processor-in-memory systems
-
Christopher J. Hughes and Sarita V. Adve. 2005. Memory-side prefetching for linked data structures for processor-in-memory systems. J. Parallel and Distrib. Comput. 65, 4 (2005), 448-463.
-
(2005)
J. Parallel and Distrib. Comput
, vol.65
, Issue.4
, pp. 448-463
-
-
Hughes, C.J.1
Adve, S.V.2
-
42
-
-
8344236686
-
Effective stream-based and execution-based data prefetching
-
Sorin Iacobovici, Lawrence Spracklen, Sudarshan Kadambi, Yuan Chou, and Santosh G. Abraham. 2004. Effective stream-based and execution-based data prefetching. In International Conference on Supercomputing. 1-11.
-
(2004)
International Conference on Supercomputing
, pp. 1-11
-
-
Iacobovici, S.1
Spracklen, L.2
Kadambi, S.3
Chou, Y.4
Abraham, S.G.5
-
43
-
-
84892527825
-
Linearizing irregular memory accesses for improved correlated prefetching
-
Akanksha Jain and Calvin Lin. 2013. Linearizing irregular memory accesses for improved correlated prefetching. In International Symposium on Micro architecture. 247-259.
-
(2013)
International Symposium on Micro Architecture
, pp. 247-259
-
-
Jain, A.1
Lin, C.2
-
44
-
-
84867511610
-
Making data prefetch smarter: Adaptive prefetching on power7
-
Victor Jiménez, Roberto Gioiosa, Francisco J. Cazorla, Alper Buyuktosunoglu, Pradip Bose, and Francis P. O'Connell. 2012. Making data prefetch smarter: Adaptive prefetching on POWER7. In International Conference on Parallel Architectures and Compilation Techniques. 137-146.
-
(2012)
International Conference on Parallel Architectures and Compilation Techniques
, pp. 137-146
-
-
Jiménez, V.1
Gioiosa, R.2
Cazorla, F.J.3
Buyuktosunoglu, A.4
Bose, P.5
O'Connell, F.P.6
-
46
-
-
0025429331
-
Improving direct-mapped cache performance by the addition of a small fully associative cache and prefetch buffers
-
Norman P. Jouppi. 1990. Improving direct-mapped cache performance by the addition of a small fully associative cache and prefetch buffers. In International Symposium on Computer Architecture. 364-373.
-
(1990)
International Symposium on Computer Architecture
, pp. 364-373
-
-
Jouppi, N.P.1
-
47
-
-
84937692144
-
B-fetch: Branch prediction directed prefetching for chip-multiprocessors
-
David Kadjo, Jinchun Kim, Prabal Sharma, Reena Panda, Paul Gratz, and Daniel Jimenez. 2014. B-fetch: Branch prediction directed prefetching for chip-multiprocessors. In International Symposium on Micro architecture (MICRO). 623-634.
-
(2014)
International Symposium on Micro Architecture (MICRO)
, pp. 623-634
-
-
Kadjo, D.1
Kim, J.2
Sharma, P.3
Panda, R.4
Gratz, P.5
Jimenez, D.6
-
52
-
-
84904110329
-
Multiple stream tracker: A new hardware stride prefetcher
-
Taesu Kim, Dali Zhao, and Alexander V. Veidenbaum. 2014. Multiple stream tracker: A new hardware stride prefetcher. In Computing Frontiers. 34.
-
(2014)
Computing Frontiers
, pp. 34
-
-
Kim, T.1
Zhao, D.2
Veidenbaum, A.V.3
-
57
-
-
84859463353
-
When prefetching works, when it doesn't, and why
-
2012
-
Jaekyu Lee, Hyesoon Kim, and Richard Vuduc. 2012. When prefetching works, when it doesn't, and why. ACM Trans. Arch. Code Opt. 9, 1 (2012), 21-229.
-
(2012)
ACM Trans. Arch. Code Opt
, vol.9
, Issue.1
, pp. 21-229
-
-
Lee, J.1
Kim, H.2
Vuduc, R.3
-
58
-
-
62349097505
-
Exploiting producer patterns and l2 cache for timely dependence based prefetching
-
Chungsoo Lim and Gregory T. Byrd. 2008. Exploiting producer patterns and L2 cache for timely dependencebased prefetching. In International Conference on Computer Design. IEEE, 685-692.
-
(2008)
International Conference on Computer Design. IEEE
, pp. 685-692
-
-
Lim, C.1
Byrd, G.T.2
-
63
-
-
67650020024
-
The performance of runtime data cache prefetching in a dynamic optimization system
-
Jiwei Lu, Howard Chen, Rao Fu, Wei-Chung Hsu, Bobbie Othmer, Pen-Chung Yew, and Dong-Yuan Chen. 2003. The performance of runtime data cache prefetching in a dynamic optimization system. In International Symposium on Micro architecture. 180-190.
-
(2003)
International Symposium on Micro Architecture
, pp. 180-190
-
-
Lu, J.1
Chen, H.2
Fu, R.3
Hsu, W.-C.4
Othmer, B.5
Yew, P.-C.6
Chen, D.-Y.7
-
65
-
-
0034839064
-
Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors
-
Chi-Keung Luk. 2001. Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors. In International Symposium on Computer Architecture. 40-51.
-
(2001)
International Symposium on Computer Architecture
, pp. 40-51
-
-
Luk, C.-K.1
-
70
-
-
84897572369
-
A survey of architectural techniques for improving cache power efficiency
-
2014
-
Sparsh Mittal. 2014. A survey of architectural techniques for improving cache power efficiency. Elsev. Sust. Comput.: Inform. Syst. 4, 1 (2014), 33-43.
-
(2014)
Elsev. Sust. Comput.: Inform. Syst
, vol.4
, Issue.1
, pp. 33-43
-
-
Mittal, S.1
-
71
-
-
84994668261
-
A survey of techniques for modeling and improving reliability of computing systems
-
2015
-
Sparsh Mittal and Jeffrey Vetter. 2015. A survey of techniques for modeling and improving reliability of computing systems. IEEE Trans. Parallel Distrib. Syst. (2015).
-
(2015)
IEEE Trans. Parallel Distrib. Syst
-
-
Mittal, S.1
Vetter, J.2
-
72
-
-
84929352865
-
A survey of architectural approaches for managing embedded dram and non-volatile on-chip caches
-
2015
-
Sparsh Mittal, Jeffrey S. Vetter, and Dong Li. 2015. A survey of architectural approaches for managing embedded DRAM and non-volatile on-chip caches. IEEE Trans. Parallel Distrib. Syst. (2015).
-
(2015)
IEEE Trans. Parallel Distrib. Syst
-
-
Mittal, S.1
Vetter, J.S.2
Li, D.3
-
77
-
-
0036375949
-
Bloom filtering cache misses for accurate data speculation and prefetching
-
Jih-Kwon Peir, Shih-Chang Lai, Shih-Lien Lu, Jared Stark, and Konrad Lai. 2002. Bloom filtering cache misses for accurate data speculation and prefetching. In International Conference on Supercomputing. 189-198.
-
(2002)
International Conference on Supercomputing
, pp. 189-198
-
-
Peir, J.-K.1
Lai, S.-C.2
Lu, S.-L.3
Stark, J.4
Lai, K.5
-
78
-
-
84903957125
-
Sandbox prefetching: Safe run- Time evaluation of aggressive prefetchers
-
Seth H. Pugsley, Zeshan Chishti, Chris Wilkerson, Peng-fei Chuang, Robert L. Scott, Aamer Jaleel, Shih-Lien Lu, Kingsum Chow, and Rajeev Balasubramonian. 2014. Sandbox prefetching: Safe run- Time evaluation of aggressive prefetchers. In International Symposium on High Performance Computer Architecture (HPCA). 626-637.
-
(2014)
International Symposium on High Performance Computer Architecture (HPCA)
, pp. 626-637
-
-
Pugsley, S.H.1
Chishti, Z.2
Wilkerson, C.3
Chuang, P.-F.4
Scott, R.L.5
Jaleel, A.6
Lu, S.-L.7
Chow, K.8
Balasubramonian, R.9
-
80
-
-
84978636839
-
Pbc: Prefetched blocks compaction
-
2015). DOI
-
K. Raghavendra, B. Panda, and M. Mutyam. 2015. PBC: Prefetched blocks compaction. IEEE Trans. Comput. (2015). DOI: http://dx.doi.org/10.1109/TC.2015.2493533.
-
(2015)
IEEE Trans. Comput
-
-
Raghavendra, K.1
Panda, B.2
Mutyam, M.3
-
84
-
-
84981522272
-
Spectral prefetcher: An effective mechanism for l2 cache prefetching
-
2005
-
Saurabh Sharma, Jesse G. Beu, and Thomas M. Conte. 2005. Spectral prefetcher: An effective mechanism for L2 cache prefetching. ACM Trans. Arch. Code Opt. 2, 4 (2005), 423-450.
-
(2005)
ACM Trans. Arch. Code Opt
, vol.2
, Issue.4
, pp. 423-450
-
-
Sharma, S.1
Beu, J.G.2
Conte, T.M.3
-
86
-
-
0042850375
-
Correlation prefetching with a user-level memory thread
-
D. Solihin, Jaejin Lee, and Josep Torrellas. 2003. Correlation prefetching with a user-level memory thread. IEEE Trans. Parallel Distrib. Syst. 14, 6 (2003), 563-580.
-
(2003)
IEEE Trans. Parallel Distrib. Syst
, vol.14
, Issue.6
, pp. 563-580
-
-
Solihin, D.1
Lee, J.2
Torrellas, J.3
-
88
-
-
33845894426
-
Spatial memory streaming
-
Stephen Somogyi, Thomas F. Wenisch, Anastassia Ailamaki, Babak Falsafi, and Andreas Moshovos. 2006. Spatial memory streaming. In International Symposium on Computer Architecture (ISCA). 252-263.
-
(2006)
International Symposium on Computer Architecture (ISCA)
, pp. 252-263
-
-
Somogyi, S.1
Wenisch, T.F.2
Ailamaki, A.3
Falsafi, B.4
Moshovos, A.5
-
91
-
-
1342323887
-
A prefetch taxonomy
-
2004
-
Viji Srinivasan, Edward S. Davidson, and Gary S. Tyson. 2004. A prefetch taxonomy. IEEE Trans. Comput. 53, 2 (2004), 126-140.
-
(2004)
IEEE Trans. Comput
, vol.53
, Issue.2
, pp. 126-140
-
-
Srinivasan, V.1
Davidson, E.S.2
Tyson, G.S.3
-
92
-
-
0034818890
-
Branch history guided instruction prefetching
-
Viji Srinivasan, Edward S. Davidson, Gary S. Tyson, Mark J. Charney, and Thomas R. Puzak. 2001. Branch history guided instruction prefetching. In International Symposium on High-Performance Computer Architecture (HPCA). 291-300.
-
(2001)
International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 291-300
-
-
Srinivasan, V.1
Davidson, E.S.2
Tyson, G.S.3
Charney, M.J.4
Puzak, T.R.5
-
93
-
-
0001589803
-
Data prefetch mechanisms
-
2000
-
Steven P. Vanderwiel and David J. Lilja. 2000. Data prefetch mechanisms. Comput. Surv. 32, 2 (2000), 174-199.
-
(2000)
Comput. Surv
, vol.32
, Issue.2
, pp. 174-199
-
-
Vanderwiel, S.P.1
Lilja, D.J.2
-
94
-
-
84862185055
-
The interaction and relative effectiveness of hardware and software data prefetch
-
2012
-
Santhosh Verma and David M. Koppelman. 2012. The interaction and relative effectiveness of hardware and software data prefetch. J. Circ., Syst. Comput. 21, 02 (2012).
-
(2012)
J. Circ., Syst. Comput
, vol.21
, Issue.2
-
-
Verma, S.1
Koppelman, D.M.2
-
95
-
-
0038345683
-
Guided region prefetching: A cooperative hardware/software approach
-
Zhenlin Wang, Doug Burger, Kathryn S. McKinley, Steven K. Reinhardt, and Charles C. Weems. 2003. Guided region prefetching: A cooperative hardware/software approach. In International Symposium on Computer Architecture (ISCA). 388-398.
-
(2003)
International Symposium on Computer Architecture (ISCA)
, pp. 388-398
-
-
Wang, Z.1
Burger, D.2
McKinley, K.S.3
Reinhardt, S.K.4
Weems, C.C.5
-
96
-
-
64949123191
-
Practical off-chip meta-data for temporal memory streaming
-
Thomas F. Wenisch, Michael Ferdman, Anastasia Ailamaki, Babak Falsafi, and Andreas Moshovos. 2009. Practical off-chip meta-data for temporal memory streaming. In International Symposium on High Performance Computer Architecture (HPCA). 79-90.
-
(2009)
International Symposium on High Performance Computer Architecture (HPCA)
, pp. 79-90
-
-
Wenisch, T.F.1
Ferdman, M.2
Ailamaki, A.3
Falsafi, B.4
Moshovos, A.5
-
97
-
-
27544508955
-
Temporal streaming of shared memory
-
Thomas F. Wenisch, Stephen Somogyi, Nikolaos Hardavellas, Jangwoo Kim, Anastassia Ailamaki, and Babak Falsafi. 2005. Temporal streaming of shared memory. In International Symposium on Computer Architecture (ISCA). 222-233.
-
(2005)
International Symposium on Computer Architecture (ISCA)
, pp. 222-233
-
-
Wenisch, T.F.1
Somogyi, S.2
Hardavellas, N.3
Kim, J.4
Ailamaki, A.5
Falsafi, B.6
-
98
-
-
84863379287
-
PACMan: Prefetch- Aware cache management for high performance caching
-
Carole-Jean Wu, Aamer Jaleel, Margaret Martonosi, Simon C. Steely Jr, and Joel Emer. 2011. PACMan: Prefetch- Aware cache management for high performance caching. In International Symposium on Micro architecture. 442-453.
-
(2011)
International Symposium on Micro Architecture
, pp. 442-453
-
-
Wu, C.-J.1
Jaleel, A.2
Martonosi, M.3
Steely, S.C.4
Emer, J.5
-
100
-
-
67549137647
-
Analyzing the worst-case execution time for instruction caches with prefetching
-
2008
-
Jun Yan and Wei Zhang. 2008. Analyzing the worst-case execution time for instruction caches with prefetching. ACM Trans. Embedd. Comput. Syst. 8, 1 (2008), 7.
-
(2008)
ACM Trans. Embedd. Comput. Syst
, vol.8
, Issue.1
, pp. 7
-
-
Yan, J.1
Zhang, W.2
-
102
-
-
84887501415
-
Meeting midway: Improving CMP performance with memory-side prefetching
-
Praveen Yedlapalli, Jagadish Kotra, Emre Kultursay, Mahmut Kandemir, Chita R. Das, and Anand Sivasubramaniam. 2013. Meeting midway: Improving CMP performance with memory-side prefetching. In International Conference on Parallel Architectures and Compilation Techniques. 289-298.
-
(2013)
International Conference on Parallel Architectures and Compilation Techniques
, pp. 289-298
-
-
Yedlapalli, P.1
Kotra, J.2
Kultursay, E.3
Kandemir, M.4
Das, C.R.5
Sivasubramaniam, A.6
|