-
1
-
-
85025637099
-
-
Cray Research, Inc. (1985) The cray-2 computer system
-
-
-
-
3
-
-
78650521878
-
Perspectives of china’s hpc system development: A view from the 2009 china hpc top100 list
-
Zhang Y, Sun J, Yuan G, Zhang L (2010) Perspectives of China’s HPC system development: A view from the 2009 China HPC TOP100 list. J Frontiers Comput Sci China 4(4):437-444
-
(2010)
J Frontiers Comput Sci China
, vol.4
, Issue.4
, pp. 437-444
-
-
Zhang, Y.1
Sun, J.2
Yuan, G.3
Zhang, L.4
-
4
-
-
77951154340
-
The gpu computing era
-
Nickolls J, Dally WJ (2010) The GPU computing era. Ieee Micro 30(2):56-69
-
(2010)
IEEE Micro
, vol.30
, Issue.2
, pp. 56-69
-
-
Nickolls, J.1
Dally, W.J.2
-
5
-
-
63449130720
-
A 167-processor computational platform in 65 nm cmos
-
Truong DN et al (2009) A 167-processor computational platform in 65 nm CMOS. Ieee J Solid State Circuits 44(4):1130-1144
-
(2009)
IEEE J Solid State Circuits
, vol.44
, Issue.4
, pp. 1130-1144
-
-
Truong, D.N.1
-
6
-
-
63149128672
-
Larrabee: A many-core x86 architecture for visual computing
-
Seiler L et al (2009) Larrabee: A many-core x86 architecture for visual computing. Ieee Micro 29(1):10-21
-
(2009)
IEEE Micro
, vol.29
, Issue.1
, pp. 10-21
-
-
Seiler, L.1
-
7
-
-
0034848112
-
Towles b (2001) route packets, not wires: On-chip interconnection networks
-
Las Vegas, NV, USA
-
Dally WJ, Towles B (2001) Route packets, not wires: On-chip interconnection networks. In: Proceedings of the 38th design automation conference (DAC’01), Las Vegas, NV, USA, pp 684-689
-
Proceedings of the 38Th Design Automation Conference (DAC’01)
, pp. 684-689
-
-
Dally, W.J.1
-
8
-
-
0036149420
-
Networks on chips: A new soc paradigm
-
Benini L, De Micheli G (2002) Networks on chips: A new SoC paradigm. Computer 35:70-78
-
(2002)
Computer
, vol.35
, pp. 70-78
-
-
Benini, De, L.1
Micheli, G.2
-
9
-
-
63149086736
-
Survey of network on chip (Noc) architectures & contributions
-
Agarwal A, Iskander C, Shankar R (2009) Survey of network on chip (NoC) architectures & contributions. Eng Comput Architec 3:1-15
-
(2009)
Eng Comput Architec
, vol.3
, pp. 1-15
-
-
Agarwal, A.1
Iskander, C.2
Shankar, R.3
-
10
-
-
66749092384
-
Exascale computing study: Technology challenges in achieving exascale systems. Tech rep darpa-2008-13
-
Kogge P et al (2008) Exascale computing study: Technology challenges in achieving exascale systems. Tech Rep DARPA-2008-13, DARPA IPTO
-
(2008)
DARPA IPTO
-
-
Kogge, P.1
-
12
-
-
0141837018
-
Trends and challenges in vlsi circuit reliability
-
Constantinescu C (2003) Trends and challenges in VLSI circuit reliability. Ieee Micro 23: 14-19
-
(2003)
IEEE Micro
, vol.23
, pp. 14-19
-
-
Constantinescu, C.1
-
13
-
-
13844296467
-
Materials’ impact on interconnect process technology and reliability
-
Hussein MA, He J (2005) Materials’ impact on interconnect process technology and reliability. Ieee Trans Semiconduct Manuf 18:69-85
-
(2005)
IEEE Trans Semiconduct Manuf
, vol.18
, pp. 69-85
-
-
Hussein, M.A.1
He, J.2
-
15
-
-
67649976852
-
Impact of technology and voltage scaling on the soft error susceptibility in nanoscale cmos
-
Cambridge, MA, USA
-
Chandra V, Aitken R (2008) Impact of technology and voltage scaling on the soft error susceptibility in nanoscale CMOS. In: Proceedings of DFT’08, Cambridge, MA, USA, pp 114-122
-
(2008)
Proceedings of DFT’08
, pp. 114-122
-
-
Chandra, V.1
Aitken, R.2
-
19
-
-
29344472607
-
Radiation-induced soft errors in advanced semiconductor technologies
-
Baumann R (2005) Radiation-induced soft errors in advanced semiconductor technologies. Ieee Trans Device Mater Reliab 5:305-316
-
(2005)
IEEE Trans Device Mater Reliab
, vol.5
, pp. 305-316
-
-
Baumann, R.1
-
21
-
-
0021660523
-
Automatic-repeat-request error control schemes
-
Lin S, Costello D, Miller M (1984) Automatic-repeat-request error control schemes. Ieee Commun Mag 22:5-17
-
(1984)
IEEE Communmag
, vol.22
, pp. 5-17
-
-
Lin, S.1
Costello, D.2
Miller, M.3
-
22
-
-
0018434238
-
Improvements in block-retransmission schemes
-
Metzner J (1979) Improvements in block-retransmission schemes. Ieee Trans Commun COM 23:525-532
-
(1979)
IEEE Trans Commun COM
, vol.23
, pp. 525-532
-
-
Metzner, J.1
-
23
-
-
77950296733
-
Analysis of forward error correction methods for nanoscale networks-on-chip
-
Catania, Italy
-
Lehtonen T, Lijieberg P, Plosila J (2007) Analysis of forward error correction methods for nanoscale networks-on-chip. In: Proceedings of the nano-net, Catania, Italy, pp 1-5
-
(2007)
Proceedings of the Nano-Net
, pp. 1-5
-
-
Lehtonen, T.1
Lijieberg, P.2
Plosila, J.3
-
27
-
-
1142287741
-
A fault model notation and error-control scheme for switch- to-switch buses in a network-on-chip
-
Newport Beach, CA, USA
-
Zimmer H, Jantsch A (2003) A fault model notation and error-control scheme for switch- to-switch buses in a network-on-chip. In: Proceedings of the international conference on hardware/software codesign and system synthsis (CODES-ISSS), Newport Beach, CA, USA, pp 188-193
-
(2003)
Proceedings of the International Conference on Hardware/Software Codesign and System Synthsis (CODES-ISSS)
, pp. 188-193
-
-
Zimmer, H.1
Jantsch, A.2
-
28
-
-
67650000914
-
-
Cambridge, MA, USA
-
Yu Q, Ampadu P (2008) Adaptive error control for NoC switch-to-switch links in a variable noise environment. In: Proceedings of IEEE international symposiun on defect and fault tolerance in VLSI system (DFT), Cambridge, MA, USA, pp 352-360
-
(2008)
Adaptive Error Control for Noc Switch-To-Switch Links in a Variable Noise Environment. In: Proceedings of IEEE International Symposiun on Defect and Fault Tolerance in VLSI System (DFT)
, pp. 352-360
-
-
Yu, Q.1
Ampadu, P.2
-
29
-
-
0001174154
-
Polynomial codes over certain finite fields
-
Reed SI, Solomon G (1960) Polynomial codes over certain finite fields. J Soc Ind Appl Math 8:300-304
-
(1960)
J Soc Ind Appl Math
, vol.8
, pp. 300-304
-
-
Reed, S.I.1
Solomon, G.2
-
30
-
-
84954417739
-
Towards on-chip fault-tolerant communication
-
Kitakyushu, Japan
-
Dumitras T, Kerner S, Marculescu R (2003) Towards on-chip fault-tolerant communication. In: Proceedings of the Asia and South Pacific design automation conference (ASP-DAC’03), Kitakyushu, Japan, pp 225-232
-
(2003)
Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC’03)
, pp. 225-232
-
-
Dumitras, T.1
Kerner, S.2
Marculescu, R.3
-
35
-
-
51549089448
-
A reconfigurable routing algorithm for a fault-tolerant 2d-mesh network-on-chip
-
Austin, TX, USA
-
Zhang Z, Greiner A, Taktak S (2008) A reconfigurable routing algorithm for a fault-tolerant 2D-mesh network-on-chip. In: Proceedings of IEEE design automation conference (DAC’08), Austin, TX, USA, pp 441-446
-
(2008)
Proceedings of IEEE Design Automation Conference (DAC’08)
, pp. 441-446
-
-
Zhang, Z.1
Greiner, A.2
Taktak, S.3
-
37
-
-
0034226899
-
The odd-even turn model for adaptive routing
-
Chiu G-M (2000) The odd-even turn model for adaptive routing. Ieee Trans Parallel Distr Syst 11:729-738
-
(2000)
IEEE Trans Parallel Distr Syst
, vol.11
, pp. 729-738
-
-
Chiu, G.-M.1
-
38
-
-
34547144376
-
Dyxy-a proximity congestion-aware deadlock-free dynamic routing method for network-on-chip
-
San Francisco, CA, USA
-
bookmark32— id=–bookmark32—>Li M, Zeng QA, Jone WB (2006) DyXY-A proximity congestion-aware deadlock-free dynamic routing method for network-on-chip. In: Proceedings of DAC 2006, San Francisco, CA, USA, pp 849-852
-
(2006)
Proceedings of DAC 2006
, pp. 849-852
-
-
Li, M.1
Zeng, Q.A.2
Jone, W.B.3
-
39
-
-
51749123102
-
A fault-ware dynamic routing algorithm for on-chip networks
-
Washington, USA
-
Hosseini A, Ragheb T, Massoud Y (2008) A fault-ware dynamic routing algorithm for on-chip networks. In: Proceedings of IEEE international symposium circuits and syst(ISCAS’08), Seattle, Washington, USA, pp 2653-2656
-
(2008)
Proceedings of IEEE International Symposium Circuits and syst(ISCAS’08), Seattle
, pp. 2653-2656
-
-
Hosseini, A.1
Ragheb, T.2
Massoud, Y.3
-
40
-
-
67650488955
-
Dynamic intermediate node algorithm (Dina): A novel fault tolerance routing methodology for nocs
-
Tehran, Iran
-
Aliabadi MR, Khademzadeh A, Raiya AM (2008) Dynamic intermediate node algorithm (DINA): A novel fault tolerance routing methodology for NoCs. In: Proceedings of international symposium on telecommunication, Tehran, Iran, pp 521-526
-
(2008)
Proceedings of International Symposium on Telecommunication
, pp. 521-526
-
-
Aliabadi, M.R.1
Khademzadeh, A.2
Raiya, A.M.3
-
41
-
-
47749105636
-
Fully adaptive fault-tolerant routing algorithm for network-on-chip architectures
-
Lubeck, Germany
-
Schonwald T, Zimmermann J, Bringmann O, Rosenstiel W (2007) Fully adaptive fault-tolerant routing algorithm for network-on-chip architectures. In: Proceedings of euromicro conference on digital system design architecture, Lubeck, Germany, pp 527-534
-
(2007)
Proceedings of Euromicro Conference on Digital System Design Architecture
, pp. 527-534
-
-
Schonwald, T.1
Zimmermann, J.2
Bringmann, O.3
Rosenstiel, W.4
-
43
-
-
0029345012
-
Fault-tolerant wormhole routing algorithms for mesh networks
-
Boppana RV, Chalasani S (1995) Fault-tolerant wormhole routing algorithms for mesh networks. Ieee Trans Comput 44:848-864
-
(1995)
IEEE Trans Comput
, vol.44
, pp. 848-864
-
-
Boppana, R.V.1
Chalasani, S.2
-
44
-
-
0032274426
-
Fault-tolerant routing algorithm for meshes without using virtual channels
-
Chen K-H, Chiu G-M (1998) Fault-tolerant routing algorithm for meshes without using virtual channels. Inform Sci Eng 14:765-783
-
(1998)
Inform Sci Eng
, vol.14
, pp. 765-783
-
-
Chen, K.-H.1
Chiu, G.-M.2
-
45
-
-
33845589989
-
Exploring fault-tolerant network-on-chip architectures
-
Philadelphia, PA, USA
-
Park D, Nicopoulos C, Kim J, Vijaykrishnan N, Das CR (2006) Exploring fault-tolerant network-on-chip architectures. In: Proceedings of international conference on dependable syst and networks (DSN’06), Philadelphia, PA, USA, pp 93-104
-
(2006)
Proceedings of International Conference on Dependable Syst and Networks (DSN’06)
, pp. 93-104
-
-
Park, D.1
Nicopoulos, C.2
Kim, J.3
Vijaykrishnan, N.4
Das, C.R.5
-
46
-
-
0031213195
-
A theory of fault-tolerant routing in wormhole networks
-
Duato J (1997) A theory of fault-tolerant routing in wormhole networks. Ieee Trans Parallel Distr Syst 8:790-802
-
(1997)
IEEE Trans Parallel Distr Syst
, vol.8
, pp. 790-802
-
-
Duato, J.1
-
47
-
-
77950301257
-
Self-adaptive system for addressing permanent errors in on-chip interconnects
-
Lehtonen T, Wolpert D, Liljeberg P, Plosila J, Ampadu P (2010) Self-adaptive system for addressing permanent errors in on-chip interconnects. Ieee Trans Very Large Scale Integr (VLSI) Syst 18:527-540
-
(2010)
IEEE Trans Very Large Scale Integr (VLSI) Syst
, vol.18
, pp. 527-540
-
-
Lehtonen, T.1
Wolpert, D.2
Liljeberg, P.3
Plosila, J.4
Ampadu, P.5
-
48
-
-
34250849255
-
Online reconfigurable self-timed links for fault tolerant noc
-
Lehtonen T, Liljeberg P, Plosila J (2007) Online reconfigurable self-timed links for fault tolerant NoC. Vlsi Des 2007:1-13
-
(2007)
VLSI Des
, vol.2007
, pp. 1-13
-
-
Lehtonen, T.1
Liljeberg, P.2
Plosila, J.3
-
51
-
-
0032140566
-
Near-optimum decoding of product codes: Block turbo codes
-
Pyndiah R (1998) Near-optimum decoding of product codes: Block turbo codes. Ieee Trans Commun 46(8):1003-1010
-
(1998)
IEEE Trans Commun
, vol.46
, Issue.8
, pp. 1003-1010
-
-
Pyndiah, R.1
-
52
-
-
70349257426
-
On hamming product codes with type-ii hybrid arq for on-chip interconnects. Ieee trans circuits syst i
-
Fu B, Ampadu P (2009) On hamming product codes with type-II hybrid ARQ for on-chip interconnects. Ieee Trans Circuits Syst I, Reg Papers 9:2042-2054
-
(2009)
Reg Papers
, vol.9
, pp. 2042-2054
-
-
Fu, B.1
Ampadu, P.2
-
53
-
-
33748849061
-
Bulletproof: A defect-tolerant cmp switch architecture
-
Constantinides K et al (2006) BulletProof: A defect-tolerant CMP switch architecture. In: Proceedings of HPCA’06, Austin, Feb 2006, pp 5-16
-
(2006)
Proceedings of HPCA’06, Austin, Feb
, vol.2006
, pp. 5-16
-
-
Constantinides, K.1
-
55
-
-
40949110161
-
Design of low power & reliable networks on chip through joint crosstalk avoidance and multiple error correction coding. J electron test theory appl (jetta)
-
Ganguly A, Pande PP, Belzer B, Grecu C (2008) Design of low power & reliable networks on chip through joint crosstalk avoidance and multiple error correction coding. J Electron Test Theory Appl (JETTA), Special Issue on Defect and Fault Tolerance 24:67-81
-
(2008)
On Defect and Fault Tolerance
, vol.24
, pp. 67-81
-
-
Ganguly, A.1
Pande, P.P.2
Belzer, B.3
Grecu, C.4
-
56
-
-
70350622990
-
Crosstalk-aware channel coding schemes for energy efficient and reliable noc interconnects
-
Ganguly A, Pande PP, Belzer B (2009) Crosstalk-aware channel coding schemes for energy efficient and reliable NOC interconnects. Ieee Trans Very Large Scale Integr (VLSI) Syst 17(11): 1626-1639
-
(2009)
IEEE Trans Very Large Scale Integr (VLSI) Syst
, vol.17
, Issue.11
, pp. 1626-1639
-
-
Ganguly, A.1
Pande, P.P.2
Belzer, B.3
-
57
-
-
34248590882
-
Coding for reliable on-chip buses: A class of fundamental bounds and practical codes
-
Sridhara S, Shanbhag RN (2007) Coding for reliable on-chip buses: A class of fundamental bounds and practical codes. Ieee Trans Comput Aided Des Integr Circuits Syst 5:977-982
-
(2007)
IEEE Trans Comput Aided Des Integr Circuits Syst
, vol.5
, pp. 977-982
-
-
Sridhara, S.1
Shanbhag, R.N.2
-
58
-
-
17644367223
-
Area and energy-efficient crosstalk avoidance codes for on-chip busses
-
San Jose, CA, USA
-
Sridhara S, Ahmed A, Shanbhag RN (2004) Area and energy-efficient crosstalk avoidance codes for on-chip busses. In: Proceedings of international conference on computer design (ICCD), San Jose, CA, USA, pp 12-17
-
(2004)
Proceedings of International Conference on Computer Design (ICCD)
, pp. 12-17
-
-
Sridhara, S.1
Ahmed, A.2
Shanbhag, R.N.3
-
59
-
-
84950134284
-
Analysis and avoidance of crosstalk in on-chip buses
-
California, USA
-
Duan C, Tirumala A, Khatri SP (2001) Analysis and avoidance of crosstalk in on-chip buses. In: Proceedings of hot interconnects, Stanford, California, USA, pp 133-138
-
(2001)
Proceedings of Hot Interconnects, Stanford
, pp. 133-138
-
-
Duan, C.1
Tirumala, A.2
Khatri, S.P.3
-
60
-
-
0035211961
-
Bus encoding to prevent crosstalk delay
-
San Jose, CA, USA
-
Victor B, Keutzer K (2001) Bus encoding to prevent crosstalk delay. In: Proceedings of IEEE/ACM international conference on computer-aided design (ICCAD), San Jose, CA, USA, pp 57-63
-
(2001)
Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
, pp. 57-63
-
-
Victor, B.1
Keutzer, K.2
-
61
-
-
84893650459
-
A bus delay reduction technique considering crosstalk
-
Paris, France
-
Hirose K, Yassura H (2000) A bus delay reduction technique considering crosstalk. In: Proceedings of design, automation and test in Europe (DATE), Paris, France, pp 441-445
-
(2000)
Proceedings of Design, Automation and Test in Europe (DATE)
, pp. 441-445
-
-
Hirose, K.1
Yassura, H.2
-
62
-
-
0034795679
-
Two schemes to reduce interconnect delay in bi-directional and uni-directional buses
-
Kyoto, Japan
-
Nose K, Sakurai T (2001) Two schemes to reduce interconnect delay in bi-directional and uni-directional buses. In: Proceedings of VLSI symposium, Kyoto, Japan, pp 193-194
-
(2001)
Proceedings of VLSI Symposium
, pp. 193-194
-
-
Nose, K.1
Sakurai, T.2
-
63
-
-
77952709993
-
Exploiting parity computation latency for on-chip crosstalk reduction
-
Fu B, Ampadu P (2010) Exploiting parity computation latency for on-chip crosstalk reduction. Ieee Trans Circuits Syst II: Expr Briefs 57:399-403
-
(2010)
IEEE Trans Circuits Syst II: Expr Briefs
, vol.57
, pp. 399-403
-
-
Fu, B.1
Ampadu, P.2
-
64
-
-
84961383192
-
-
Online
-
Arizona State University Predictive Technology Model [Online]. Http://ptm.asu.edu/
-
-
-
-
65
-
-
70350075849
-
A highly resilient routing algorithm for fault-tolerant nocs
-
Fick D et al. (2009) A highly resilient routing algorithm for fault-tolerant NoCs. In: Proceedings of DATE’09, Nice, France, Mar 2009, pp 21-26
-
(2009)
Proceedings of DATE’09, Nice, France, Mar
, vol.2009
, pp. 21-26
-
-
Fick, D.1
-
66
-
-
77949648043
-
Smart-flooding: A novel scheme for fault-tolerant nocs
-
Sanusi A, Bayoumi MA (2009) Smart-flooding: A novel scheme for fault-tolerant NoCs. In: Proceedings of IEEE SoC conference, Belfast, Northern Ireland, Sept 2009, pp 259-262
-
(2009)
Proceedings of IEEE Soc Conference, Belfast, Northern Ireland, Sept
, vol.2009
, pp. 259-262
-
-
Sanusi, A.1
Bayoumi, M.A.2
-
67
-
-
77955109421
-
Addressing manufacturing challenges with cost-efficient fault tolerant routing
-
Rodrigo S, Flich J, Roca A, Medardoni S, Bertozzi D, Camacho J, Silla F, Duato J (2010) Addressing manufacturing challenges with cost-efficient fault tolerant routing. In: Proceedings of NOCS’10, Grenoble, France, May 2010, pp 25-32
-
(2010)
Proceedings of NOCS’10, Grenoble, France, May
, vol.2010
, pp. 25-32
-
-
Rodrigo, S.1
Flich, J.2
Roca, A.3
Medardoni, S.4
Bertozzi, D.5
Camacho, J.6
Silla, F.7
Duato, J.8
-
68
-
-
77951211354
-
Optimizing power and performance for reliable on-chip networks
-
Yanamandra A et al (2010) Optimizing power and performance for reliable on-chip networks. In: Proceedings of ASP-DAC’10, Taipei, Taiwan, Jan 2010, pp 431-436
-
(2010)
Proceedings of ASP-DAC’10, Taipei, Taiwan, Jan
, vol.2010
, pp. 431-436
-
-
Yanamandra, A.1
-
69
-
-
4544296705
-
The use of triple-modular redundancy to improve computer reliability
-
Lyons REAND, Vanderkulk W (1962) The use of triple-modular redundancy to improve computer reliability. Ibm J Res Dev 6(2):200-209
-
(1962)
IBM J Res Dev
, vol.6
, Issue.2
, pp. 200-209
-
-
Lyons, R.1
Vanderkulk, W.2
-
70
-
-
85008053864
-
An 80-tile sub-100-w teraflops processor in 65-nm cmos
-
Vangal S et al (2008) An 80-tile sub-100-W TeraFLOPS processor in 65-nm CMOS. Ieee J Solid State Circuits 43(1):29-41
-
(2008)
IEEE J Solid State Circuits
, vol.43
, Issue.1
, pp. 29-41
-
-
Vangal, S.1
-
71
-
-
79960315249
-
-
Pennsylvania, USA
-
Yu Q, Zhang M, Ampadu P (2011) Exploiting inherent information redundancy to manage transient errors in NoC routing arbitration. In: Proceedings of. 5Th ACM/IEEE international symposium on networks-on-chip (NoCS’11), Pittsburgh, Pennsylvania, USA, pp 105-112
-
(2011)
Exploiting Inherent Information Redundancy to Manage Transient Errors in Noc Routing Arbitration. In: Proceedings Of. 5Th ACM/IEEE International Symposium on Networks-On-Chip (NoCS’11), Pittsburgh
, pp. 105-112
-
-
Yu, Q.1
Zhang, M.2
Ampadu, P.3
|