-
1
-
-
85117752095
-
Towards a time-predictable dual-issue microprocessor: The Patmos approach
-
Grenoble, France
-
M. Schoeberl, P. Schleuniger, W. Puffitsch, F. Brandner, C.W. Probst, S. Karlsson, T. Thorn, Towards a time-predictable dual-issue microprocessor: The Patmos approach, in: First Workshop on Bringing Theory to Practice: Predictability and Performance in Embedded Systems (PPES 2011), Grenoble, France, 2011, pp. 11-20.
-
(2011)
First Workshop on Bringing Theory to Practice: Predictability and Performance in Embedded Systems (PPES 2011)
, pp. 11-20
-
-
Schoeberl, M.1
Schleuniger, P.2
Puffitsch, W.3
Brandner, F.4
Probst, C.W.5
Karlsson, S.6
Thorn, T.7
-
2
-
-
84941278179
-
A method cache for Patmos
-
IEEE, Reno, Nevada, USA
-
P. Degasperi, S. Hepp, W. Puffitsch, M. Schoeberl, A method cache for Patmos, in: Proceedings of the 17th IEEE Symposium on Object/Component/Service-oriented Real-time Distributed Computing (ISORC 2014), IEEE, Reno, Nevada, USA, 2014.
-
(2014)
Proceedings of the 17th IEEE Symposium on Object/Component/Service-oriented Real-time Distributed Computing (ISORC 2014)
-
-
Degasperi, P.1
Hepp, S.2
Puffitsch, W.3
Schoeberl, M.4
-
4
-
-
84862743992
-
A statically scheduled time-division-multiplexed network-on-chip for real-time systems
-
IEEE, Lyngby, Denmark
-
M. Schoeberl, F. Brandner, J. Spars, E. Kasapaki, A statically scheduled time-division-multiplexed network-on-chip for real-time systems, in: Proceedings of the 6th International Symposium on Networks-on-Chip (NOCS), IEEE, Lyngby, Denmark, 2012, pp. 152-160.
-
(2012)
Proceedings of the 6th International Symposium on Networks-on-Chip (NOCS)
, pp. 152-160
-
-
Schoeberl, M.1
Brandner, F.2
Spars, J.3
Kasapaki, E.4
-
5
-
-
84890108395
-
Router designs for an asynchronous time-division-multiplexed network-on-chip
-
IEEE
-
E. Kasapaki, J. Sparso, R.B. Sorensen, K. Goossens, Router designs for an asynchronous time-division-multiplexed network-on-chip, in: Digital System Design (DSD), 2013 Euromicro Conference on, IEEE, 2013, pp. 319-326.
-
(2013)
Digital System Design (DSD), 2013 Euromicro Conference on
, pp. 319-326
-
-
Kasapaki, E.1
Sparso, J.2
Sorensen, R.B.3
Goossens, K.4
-
8
-
-
38849203001
-
Predator: A predictable sdram memory controller
-
ACM New York, NY, USA
-
B. Akesson, K. Goossens, and M. Ringhofer Predator: a predictable sdram memory controller CODES+ISSS '07: Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis 2007 ACM New York, NY, USA 251 256
-
(2007)
CODES+ISSS '07: Proceedings of the 5th IEEE/ACM International Conference on Hardware/software Codesign and System Synthesis
, pp. 251-256
-
-
Akesson, B.1
Goossens, K.2
Ringhofer, M.3
-
10
-
-
84885665431
-
Architecture and optimal configuration of a real-time multi-channel memory controller
-
M.D. Gomony, B. Akesson, K. Goossens, Architecture and optimal configuration of a real-time multi-channel memory controller, in: Design, Automation Test in Europe Conference Exhibition (DATE), 2013, pp. 1307-1312.
-
(2013)
Design, Automation Test in Europe Conference Exhibition (DATE)
, pp. 1307-1312
-
-
Gomony, M.D.1
Akesson, B.2
Goossens, K.3
-
11
-
-
80055012735
-
Lessons learned from the 80-core tera-scale research processor
-
S. Dighe, S. Vangal, N. Borkar, and S. Borkar Lessons learned from the 80-core tera-scale research processor Intel Technol. J. 13 4 2009 119 130
-
(2009)
Intel Technol. J.
, vol.13
, Issue.4
, pp. 119-130
-
-
Dighe, S.1
Vangal, S.2
Borkar, N.3
Borkar, S.4
-
12
-
-
78650814177
-
The 48-core SCC processor: The programmer's view
-
T.G. Mattson, R.F. Van der Wijngaart, M. Riepen, T. Lehnig, P. Brett, W. Haas, P. Kennedy, J. Howard, S. Vangal, N. Borkar, G. Ruhl, S. Dighe, The 48-core SCC processor: the programmer's view, in: International Conference for High Performance Computing, Networking, Storage and Analysis (SC), 2010, pp. 1-11.
-
(2010)
International Conference for High Performance Computing, Networking, Storage and Analysis (SC)
, pp. 1-11
-
-
Mattson, T.G.1
Van Der Wijngaart, R.F.2
Riepen, M.3
Lehnig, T.4
Brett, P.5
Haas, W.6
Kennedy, P.7
Howard, J.8
Vangal, S.9
Borkar, N.10
Ruhl, G.11
Dighe, S.12
-
13
-
-
84884521771
-
The case for message passing on many-core chips
-
M. Hübner, J. Becker, Springer Ch. 5
-
R. Kumar, T.G. Mattson, G. Pokam, and R. Van Der Wijngaart The case for message passing on many-core chips M. Hübner, J. Becker, Multiprocessor System-on-chip: Hardware Design and Tool Integration 2011 Springer 115 123 Ch. 5
-
(2011)
Multiprocessor System-on-chip: Hardware Design and Tool Integration
, pp. 115-123
-
-
Kumar, R.1
Mattson, T.G.2
Pokam, G.3
Van Der Wijngaart, R.4
-
14
-
-
84905743308
-
-
M. Schoeberl, F. Brandner, S. Hepp, W. Puffitsch, D. Prokesch, Patmos Reference Handbook, Technical Report, 2014.
-
(2014)
Patmos Reference Handbook, Technical Report
-
-
Schoeberl, M.1
Brandner, F.2
Hepp, S.3
Puffitsch, W.4
Prokesch, D.5
-
15
-
-
78649521961
-
Merasa: Multi-core execution of hard real-time applications supporting analysability
-
T. Ungerer, F. Cazorla, P. Sainrat, G. Bernat, Z. Petrov, C. Rochange, E. Quiñones, M. Gerdes, M. Paolieri, and J. Wolf Merasa: Multi-core execution of hard real-time applications supporting analysability Micro IEEE 30 5 2010 66 75
-
(2010)
Micro IEEE
, vol.30
, Issue.5
, pp. 66-75
-
-
Ungerer, T.1
Cazorla, F.2
Sainrat, P.3
Bernat, G.4
Petrov, Z.5
Rochange, C.6
Quiñones, E.7
Gerdes, M.8
Paolieri, M.9
Wolf, J.10
-
16
-
-
84890086134
-
ParMERASA - Multi-core execution of parallelised hard real-time applications supporting analysability
-
T. Ungerer, C. Bradatsch, M. Gerdes, F. Kluge, R. Jahr, J. Mische, J. Fernandes, P. Zaykov, Z. Petrov, B. Boddeker, S. Kehr, H. Regler, A. Hugl, C. Rochange, H. Ozaktas, H. Casse, A. Bonenfant, P. Sainrat, I. Broster, N. Lay, D. George, E. Quinones, M. Panic, J. Abella, F. Cazorla, S. Uhrig, M. Rohde, A. Pyka, parMERASA - multi-core execution of parallelised hard real-time applications supporting analysability, in: 2013 Euromicro Conference on Digital System Design (DSD), 2013, pp. 363-370.
-
(2013)
2013 Euromicro Conference on Digital System Design (DSD)
, pp. 363-370
-
-
Ungerer, T.1
Bradatsch, C.2
Gerdes, M.3
Kluge, F.4
Jahr, R.5
Mische, J.6
Fernandes, J.7
Zaykov, P.8
Petrov, Z.9
Boddeker, B.10
Kehr, S.11
Regler, H.12
Hugl, A.13
Rochange, C.14
Ozaktas, H.15
Casse, H.16
Bonenfant, A.17
Sainrat, P.18
Broster, I.19
Lay, N.20
George, D.21
Quinones, E.22
Panic, M.23
Abella, J.24
Cazorla, F.25
Uhrig, S.26
Rohde, M.27
Pyka, A.28
more..
-
17
-
-
42949092270
-
A Java processor architecture for embedded real-time systems
-
M. Schoeberl A Java processor architecture for embedded real-time systems J. Syst. Archit. 54 1-2 2008 265 286
-
(2008)
J. Syst. Archit.
, vol.54
, Issue.1-2
, pp. 265-286
-
-
Schoeberl, M.1
-
19
-
-
6944226720
-
Design for timing predictability
-
L. Thiele, and R. Wilhelm Design for timing predictability Real-Time Syst. 28 2-3 2004 157 177
-
(2004)
Real-Time Syst.
, vol.28
, Issue.2-3
, pp. 157-177
-
-
Thiele, L.1
Wilhelm, R.2
-
20
-
-
78649875097
-
ALL-TIMES - A European project on integrating timing technology
-
T. Margaria, B. Steffen, Springer
-
J. Gustafsson, B. Lisper, M. Schordan, C. Ferdinand, M. Jersak, and G. Bernat ALL-TIMES - a European project on integrating timing technology T. Margaria, B. Steffen, Proc. Third International Symposium on Leveraging Applications of Formal Methods (ISOLA'08) 2008 Springer 445 459
-
(2008)
Proc. Third International Symposium on Leveraging Applications of Formal Methods (ISOLA'08)
, pp. 445-459
-
-
Gustafsson, J.1
Lisper, B.2
Schordan, M.3
Ferdinand, C.4
Jersak, M.5
Bernat, G.6
-
21
-
-
84885932012
-
Virtual execution platforms for mixed-time-criticality systems: The CompSOC architecture and design flow
-
K. Goossens, A. Azevedo, K. Chandrasekar, M.D. Gomony, S. Goossens, M. Koedam, Y. Li, D. Mirzoyan, A. Molnos, A. Beyranvand Nejad, A. Nelson, and S. Sinha Virtual execution platforms for mixed-time-criticality systems: the CompSOC architecture and design flow ACM SIGBED Rev. 10 3 2013 23 34
-
(2013)
ACM SIGBED Rev.
, vol.10
, Issue.3
, pp. 23-34
-
-
Goossens, K.1
Azevedo, A.2
Chandrasekar, K.3
Gomony, M.D.4
Goossens, S.5
Koedam, M.6
Li, Y.7
Mirzoyan, D.8
Molnos, A.9
Beyranvand Nejad, A.10
Nelson, A.11
Sinha, S.12
-
22
-
-
84885900661
-
The CompSOC design flow for virtual execution platforms
-
ACM New York, NY, USA
-
S. Goossens, B. Akesson, M. Koedam, A. Beyranvand Nejad, A. Nelson, and K. Goossens The CompSOC design flow for virtual execution platforms Proceedings of the 10th FPGAworld Conference 2013 ACM New York, NY, USA 7:1 7:6
-
(2013)
Proceedings of the 10th FPGAworld Conference
, pp. 71-76
-
-
Goossens, S.1
Akesson, B.2
Koedam, M.3
Beyranvand Nejad, A.4
Nelson, A.5
Goossens, K.6
-
26
-
-
84905752734
-
The T-CREST approach of compiler and WCET-analysis integration
-
P. Puschner, D. Prokesch, B. Huber, J. Knoop, S. Hepp, G. Gebhard, The T-CREST approach of compiler and WCET-analysis integration, in: 9th Workshop on Software Technologies for Future Embedded and Ubiquitous Systems (SEUS 2013), 2013, pp. 33-40.
-
(2013)
9th Workshop on Software Technologies for Future Embedded and Ubiquitous Systems (SEUS 2013)
, pp. 33-40
-
-
Puschner, P.1
Prokesch, D.2
Huber, B.3
Knoop, J.4
Hepp, S.5
Gebhard, G.6
-
29
-
-
63649086617
-
Predictable programming on a precision timed architecture
-
E.R. Altman, ACM Atlanta, GA, USA
-
B. Lickly, I. Liu, S. Kim, H.D. Patel, S.A. Edwards, and E.A. Lee Predictable programming on a precision timed architecture E.R. Altman, Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES 2008) 2008 ACM Atlanta, GA, USA 137 146
-
(2008)
Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES 2008)
, pp. 137-146
-
-
Lickly, B.1
Liu, I.2
Kim, S.3
Patel, H.D.4
Edwards, S.A.5
Lee, E.A.6
-
30
-
-
84872087951
-
A PRET microarchitecture implementation with repeatable timing and competitive performance
-
I. Liu, J. Reineke, D. Broman, M. Zimmer, E.A. Lee, A PRET microarchitecture implementation with repeatable timing and competitive performance, in: Proceedings of IEEE International Conference on Computer Design (ICCD 2012), 2012.
-
(2012)
Proceedings of IEEE International Conference on Computer Design (ICCD 2012)
-
-
Liu, I.1
Reineke, J.2
Broman, D.3
Zimmer, M.4
Lee, E.A.5
-
31
-
-
84883425421
-
-
Ph.D. Thesis, EECS Department, University of California, Berkeley
-
I. Liu, Precision Timed Machines, Ph.D. Thesis, EECS Department, University of California, Berkeley, 2012.
-
(2012)
Precision Timed Machines
-
-
Liu, I.1
-
32
-
-
79957994124
-
A PRET architecture supporting concurrent programs with composable timing properties
-
I. Liu, J. Reineke, E.A. Lee, A PRET architecture supporting concurrent programs with composable timing properties, in: Signals, Systems and Computers, 2010 Conference Record of the Forty-Four Asilomar Conference on, 2010.
-
(2010)
Signals, Systems and Computers, 2010 Conference Record of the Forty-Four Asilomar Conference on
-
-
Liu, I.1
Reineke, J.2
Lee, E.A.3
-
33
-
-
84937575735
-
FlexPRET: A processor platform for mixed-criticality systems
-
Berlin, Germany
-
M. Zimmer, D. Broman, C. Shaver, E.A. Lee, FlexPRET: A processor platform for mixed-criticality systems, in: Proceedings of the 20th IEEE Real-Time and Embedded Technology and Application Symposium (RTAS), Berlin, Germany, 2014.
-
(2014)
Proceedings of the 20th IEEE Real-Time and Embedded Technology and Application Symposium (RTAS)
-
-
Zimmer, M.1
Broman, D.2
Shaver, C.3
Lee, E.A.4
-
34
-
-
84889042182
-
The Risc-v Instruction Set Manual, Volume I: Base User-level isa
-
EECS Department, University of California, Berkeley
-
A. Waterman, Y. Lee, D.A. Patterson, K. Asanovic, The Risc-v Instruction Set Manual, Volume I: Base User-level isa, Technical Report, UCB/EECS-2011-62, EECS Department, University of California, Berkeley, 2011.
-
(2011)
Technical Report, UCB/EECS-2011-62
-
-
Waterman, A.1
Lee, Y.2
Patterson, D.A.3
Asanovic, K.4
-
35
-
-
62749108463
-
Time-predictable computer architecture
-
(Article ID 758480)
-
M. Schoeberl Time-predictable computer architecture EURASIP J. Embedded Syst. 2009 2009 17 (Article ID 758480)
-
(2009)
EURASIP J. Embedded Syst.
, vol.2009
, pp. 17
-
-
Schoeberl, M.1
-
37
-
-
84869015039
-
Assessing the suitability of the ngmp multi-core processor in the space domain
-
ACM Tampere, Finland
-
M. Fernández, R. Gioiosa, E. Quiñones, L. Fossati, M. Zulianello, and F.J. Cazorla Assessing the suitability of the ngmp multi-core processor in the space domain Embedded Software (EMSOFT) 2012 ACM Tampere, Finland 175 184
-
(2012)
Embedded Software (EMSOFT)
, pp. 175-184
-
-
Fernández, M.1
Gioiosa, R.2
Quiñones, E.3
Fossati, L.4
Zulianello, M.5
Cazorla, F.J.6
-
39
-
-
33746316540
-
An energy-efficient reconfigurable circuit-switched network-on-chip
-
IPDPS 2005
-
P.T. Wolkotte, G. Smit, G. Rauwerda, L. Smit, An energy-efficient reconfigurable circuit-switched network-on-chip, in: Proc. 19th IEEE International Parallel and Distributed Processing Symposium, IPDPS 2005, 2005, p. 155a.
-
(2005)
Proc. 19th IEEE International Parallel and Distributed Processing Symposium
, pp. 155a
-
-
Wolkotte, P.T.1
Smit, G.2
Rauwerda, G.3
Smit, L.4
-
40
-
-
27344456043
-
The thereal network on chip: Concepts, architectures, and implementations
-
K. Goossens, J. Dielissen, and A. Rədulescu The thereal network on chip: concepts, architectures, and implementations IEEE Design Test Comput. 22 5 2005 414 421
-
(2005)
IEEE Design Test Comput.
, vol.22
, Issue.5
, pp. 414-421
-
-
Goossens, K.1
Dielissen, J.2
Rədulescu, A.3
-
42
-
-
3042740415
-
Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip
-
IEEE Computer Society Press
-
M. Millberg, E. Nilsson, R. Thid, and A. Jantsch Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip Proc. Design, Automation and Test in Europe (DATE) 2004 IEEE Computer Society Press 890 895
-
(2004)
Proc. Design, Automation and Test in Europe (DATE)
, pp. 890-895
-
-
Millberg, M.1
Nilsson, E.2
Thid, R.3
Jantsch, A.4
-
43
-
-
48149109600
-
A time-triggered network-on-chip
-
IEEE, Amsterdam, Netherlands
-
M. Schoeberl, A time-triggered network-on-chip, in: International Conference on Field-Programmable Logic and its Applications (FPL 2007), IEEE, Amsterdam, Netherlands, 2007, pp. 377-382.
-
(2007)
International Conference on Field-Programmable Logic and Its Applications (FPL 2007)
, pp. 377-382
-
-
Schoeberl, M.1
-
48
-
-
84905590274
-
End-to-end schedulability tests for multiprocessor embedded systems based on networks-on-chip with priority-preemptive arbitration
-
L.S. Indrusiak End-to-end schedulability tests for multiprocessor embedded systems based on networks-on-chip with priority-preemptive arbitration J. Syst. Archit. 60 7 2014 553 561
-
(2014)
J. Syst. Archit.
, vol.60
, Issue.7
, pp. 553-561
-
-
Indrusiak, L.S.1
-
49
-
-
0032072324
-
Application of network calculus to guaranteed service networks
-
J.-Y. Le Boudec Application of network calculus to guaranteed service networks IEEE Trans. Inf. Theory 44 3 1998 1087 1096
-
(1998)
IEEE Trans. Inf. Theory
, vol.44
, Issue.3
, pp. 1087-1096
-
-
Le Boudec, J.-Y.1
-
50
-
-
70349808490
-
Analytical modeling and evaluation of on-chip interconnects using network calculus
-
M. Bakhouya, S. Suboh, J. Gaber, T. El-Ghazawi, Analytical modeling and evaluation of on-chip interconnects using network calculus, in: Proc. ACM/IEEE International Symposium on Networks-on-Chip (NOCS), 2009, pp. 74-79.
-
(2009)
Proc. ACM/IEEE International Symposium on Networks-on-Chip (NOCS)
, pp. 74-79
-
-
Bakhouya, M.1
Suboh, S.2
Gaber, J.3
El-Ghazawi, T.4
-
51
-
-
85086055984
-
A generic, scalable and globally arbitrated memory tree for shared dram access in real-time systems
-
To appear
-
M.D. Gomony, J. Garside, B. Akesson, N. Audsley, K. Goossens, A generic, scalable and globally arbitrated memory tree for shared dram access in real-time systems, in: Proceedings 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2014, p. To appear.
-
(2014)
Proceedings 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE)
-
-
Gomony, M.D.1
Garside, J.2
Akesson, B.3
Audsley, N.4
Goossens, K.5
-
52
-
-
77953095944
-
MEDEA: A hybrid shared-memory/message-passing multiprocessor NoC-based architecture
-
IEEE
-
S.V. Tota, M.R. Casu, M.R. Roch, L. Rostagno, M. Zamboni, MEDEA: a hybrid shared-memory/message-passing multiprocessor NoC-based architecture, in: 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), IEEE, 2010, pp. 45-50.
-
(2010)
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)
, pp. 45-50
-
-
Tota, S.V.1
Casu, M.R.2
Roch, M.R.3
Rostagno, L.4
Zamboni, M.5
-
54
-
-
70349748536
-
Mesh-of-trees and alternative interconnection networks for single-chip parallelism
-
A. Balkan, and U. Vishkin Mesh-of-trees and alternative interconnection networks for single-chip parallelism IEEE Trans. Very Large Scale Integration (VLSI) Syst. 17 10 2009 1419 1432
-
(2009)
IEEE Trans. Very Large Scale Integration (VLSI) Syst.
, vol.17
, Issue.10
, pp. 1419-1432
-
-
Balkan, A.1
Vishkin, U.2
-
55
-
-
79957548813
-
-
A. Rahimi, I. Loi, M.R. Kakoee, L. Benini, A Fully-synthesizable Single-cycle Interconnection Network for Shared-L1 Processor Clusters, 2011 Design, Automation & Test in Europe (2011) 1-6.
-
(2011)
A Fully-synthesizable Single-cycle Interconnection Network for Shared-L1 Processor Clusters, 2011 Design, Automation & Test in Europe
, pp. 1-6
-
-
Rahimi, A.1
Loi, I.2
Kakoee, M.R.3
Benini, L.4
-
56
-
-
84908250454
-
A time-predictable memory network-on-chip
-
M. Schoeberl, D.V. Chong, W. Puffitsch, J. Spars, A time-predictable memory network-on-chip, in: Proceedings of the 14th International Workshop on Worst-Case Execution Time Analysis (WCET 2014), 2014.
-
(2014)
Proceedings of the 14th International Workshop on Worst-Case Execution Time Analysis (WCET 2014)
-
-
Schoeberl, M.1
Chong, D.V.2
Puffitsch, W.3
Spars, J.4
-
57
-
-
52649148744
-
Self-optimizing memory controllers: A reinforcement learning approach
-
IEEE
-
E. Ipek, O. Mutlu, J.F. Martínez, R. Caruana, Self-optimizing memory controllers: a reinforcement learning approach, in: Computer Architecture, 2008. ISCA'08. 35th International Symposium on, IEEE, 2008, pp. 39-50.
-
(2008)
Computer Architecture, 2008. ISCA'08. 35th International Symposium on
, pp. 39-50
-
-
Ipek, E.1
Mutlu, O.2
Martínez, J.F.3
Caruana, R.4
-
58
-
-
79951718838
-
Thread cluster memory scheduling: Exploiting differences in memory access behavior
-
IEEE
-
Y. Kim, M. Papamichael, O. Mutlu, M. Harchol-Balter, Thread cluster memory scheduling: Exploiting differences in memory access behavior, in: Microarchitecture (MICRO), 2010 43rd Annual IEEE/ACM International Symposium on, IEEE, 2010, pp. 65-76.
-
(2010)
Microarchitecture (MICRO), 2010 43rd Annual IEEE/ACM International Symposium on
, pp. 65-76
-
-
Kim, Y.1
Papamichael, M.2
Mutlu, O.3
Harchol-Balter, M.4
-
59
-
-
37049001810
-
Memory scheduling for modern microprocessors
-
I. Hur, and C. Lin Memory scheduling for modern microprocessors ACM Trans. Comput. Syst. (TOCS) 25 4 2007 10
-
(2007)
ACM Trans. Comput. Syst. (TOCS)
, vol.25
, Issue.4
, pp. 10
-
-
Hur, I.1
Lin, C.2
-
60
-
-
77949416407
-
Methodology for designing statically scheduled application-specific SDRAM controllers using constrained local search
-
IEEE
-
S. Bayliss, G.A. Constantinides, Methodology for designing statically scheduled application-specific SDRAM controllers using constrained local search, in: Field-Programmable Technology, 2009. FPT 2009. International Conference on, IEEE, 2009, pp. 304-307.
-
(2009)
Field-Programmable Technology, 2009. FPT 2009. International Conference on
, pp. 304-307
-
-
Bayliss, S.1
Constantinides, G.A.2
-
61
-
-
79957557217
-
Architectures and modeling of predictable memory controllers for improved system integration
-
IEEE
-
B. Akesson, K. Goossens, Architectures and modeling of predictable memory controllers for improved system integration, in: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2011, IEEE, 2011, pp. 1-6.
-
(2011)
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2011
, pp. 1-6
-
-
Akesson, B.1
Goossens, K.2
-
62
-
-
81355132245
-
Pret dram controller: Bank privatization for predictability and temporal isolation
-
ACM
-
J. Reineke, I. Liu, H.D. Patel, S. Kim, E.A. Lee, Pret dram controller: Bank privatization for predictability and temporal isolation, in: Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, ACM, 2011, pp. 99-108.
-
(2011)
Proceedings of the Seventh IEEE/ACM/IFIP International Conference on Hardware/software Codesign and System Synthesis
, pp. 99-108
-
-
Reineke, J.1
Liu, I.2
Patel, H.D.3
Kim, S.4
Lee, E.A.5
-
63
-
-
84862114407
-
Bounding wcet of applications using sdram with priority based budget scheduling in mpsocs
-
IEEE
-
H. Shah, A. Raabe, A. Knoll, Bounding wcet of applications using sdram with priority based budget scheduling in mpsocs, in: Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012, IEEE, 2012, pp. 665-670.
-
(2012)
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012
, pp. 665-670
-
-
Shah, H.1
Raabe, A.2
Knoll, A.3
-
64
-
-
84894373952
-
Worst case analysis of dram latency in multi-requestor systems
-
IEEE
-
Z.P. Wu, Y. Krish, R. Pellizzoni, Worst case analysis of dram latency in multi-requestor systems, in: Real-Time Systems Symposium (RTSS), 2013 IEEE 34th, IEEE, 2013, pp. 372-383.
-
(2013)
Real-Time Systems Symposium (RTSS), 2013 IEEE 34th
, pp. 372-383
-
-
Wu, Z.P.1
Krish, Y.2
Pellizzoni, R.3
-
65
-
-
84937545029
-
Bounding memory interference delay in cots-based multi-core systems
-
H. Kim, D. de Niz, B. Andersson, M. Klein, O. Mutlu, R.R. Rajkumar, Bounding memory interference delay in cots-based multi-core systems, in: The 20th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2014), 2014.
-
(2014)
The 20th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2014)
-
-
Kim, H.1
De Niz, D.2
Andersson, B.3
Klein, M.4
Mutlu, O.5
Rajkumar, R.R.6
-
66
-
-
84878502999
-
Timing effects of ddr memory systems in hard real-time multicore architectures: Issues and solutions
-
M. Paolieri, E. Quiñones, and F.J. Cazorla Timing effects of ddr memory systems in hard real-time multicore architectures: issues and solutions ACM Trans. Embedded Comput. Syst. (TECS) 12 1s 2013 64
-
(2013)
ACM Trans. Embedded Comput. Syst. (TECS)
, vol.12
, Issue.1 S
, pp. 64
-
-
Paolieri, M.1
Quiñones, E.2
Cazorla, F.J.3
-
67
-
-
35348861182
-
DRAMsim: A memory system simulator
-
D. Wang, B. Ganesh, N. Tuaycharoen, K. Baynes, A. Jaleel, and B. Jacob DRAMsim: a memory system simulator SIGARCH Comput. Archit. News 33 2005 100 107
-
(2005)
SIGARCH Comput. Archit. News
, vol.33
, pp. 100-107
-
-
Wang, D.1
Ganesh, B.2
Tuaycharoen, N.3
Baynes, K.4
Jaleel, A.5
Jacob, B.6
-
68
-
-
84944155383
-
Design of a wcet-aware c compiler
-
F. Mueller (Ed.) of OpenAccess Series in Informatics (OASIcs), Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik, Dagstuhl, Germany
-
H. Falk, P. Lokuciejewski, H. Theiling, Design of a wcet-aware c compiler, in: F. Mueller (Ed.), 6th International Workshop on Worst-Case Execution Time Analysis (WCET'06), Vol. 4 of OpenAccess Series in Informatics (OASIcs), Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik, Dagstuhl, Germany, 2006.
-
(2006)
6th International Workshop on Worst-Case Execution Time Analysis (WCET'06)
, vol.4
-
-
Falk, H.1
Lokuciejewski, P.2
Theiling, H.3
-
69
-
-
78049529939
-
A compiler framework for the reduction of worst-case execution times
-
H. Falk, and P. Lokuciejewski A compiler framework for the reduction of worst-case execution times Real-Time Syst. 2010 1 50
-
(2010)
Real-Time Syst.
, pp. 1-50
-
-
Falk, H.1
Lokuciejewski, P.2
-
71
-
-
77955984557
-
Transforming flow information during code optimization for timing analysis
-
R. Kirner, P. Puschner, and A. Prantl Transforming flow information during code optimization for timing analysis Real-Time Syst. 45 1-2 2010 72 105
-
(2010)
Real-Time Syst.
, vol.45
, Issue.1-2
, pp. 72-105
-
-
Kirner, R.1
Puschner, P.2
Prantl, A.3
-
74
-
-
37549059166
-
A time-predictable VLIW processor and its compiler support
-
J. Yan, and W. Zhang A time-predictable VLIW processor and its compiler support Real-Time Syst. 38 1 2008 67 84
-
(2008)
Real-Time Syst.
, vol.38
, Issue.1
, pp. 67-84
-
-
Yan, J.1
Zhang, W.2
-
75
-
-
43949126892
-
The worst-case execution time problem - Overview of methods and survey of tools
-
R. Wilhelm, J. Engblom, A. Ermedahl, N. Holsti, S. Thesing, D. Whalley, G. Bernat, C. Ferdinand, R. Heckmann, T. Mitra, F. Mueller, I. Puaut, P. Puschner, J. Staschulat, and P. Stenström The worst-case execution time problem - overview of methods and survey of tools Trans. Embedded Comput. Syst. 7 3 2008 1 53
-
(2008)
Trans. Embedded Comput. Syst.
, vol.7
, Issue.3
, pp. 1-53
-
-
Wilhelm, R.1
Engblom, J.2
Ermedahl, A.3
Holsti, N.4
Thesing, S.5
Whalley, D.6
Bernat, G.7
Ferdinand, C.8
Heckmann, R.9
Mitra, T.10
Mueller, F.11
Puaut, I.12
Puschner, P.13
Staschulat, J.14
Stenström, P.15
-
76
-
-
85050550846
-
Abstract interpretation: A unified lattice model for static analysis of programs by construction or approximation of fixpoints
-
ACM Press
-
P. Cousot, and R. Cousot Abstract interpretation: a unified lattice model for static analysis of programs by construction or approximation of fixpoints POPL '77: Proceedings of the 4th ACM Symposium on Principles of Programming Languages 1977 ACM Press 238 252
-
(1977)
POPL '77: Proceedings of the 4th ACM Symposium on Principles of Programming Languages
, pp. 238-252
-
-
Cousot, P.1
Cousot, R.2
-
77
-
-
6944231166
-
The influence of processor architecture on the design and results of WCET tools
-
R. Heckmann, M. Langenbach, S. Thesing, and R. Wilhelm The influence of processor architecture on the design and results of WCET tools Proc. IEEE 91 7 2003 1038 1054
-
(2003)
Proc. IEEE
, vol.91
, Issue.7
, pp. 1038-1054
-
-
Heckmann, R.1
Langenbach, M.2
Thesing, S.3
Wilhelm, R.4
-
78
-
-
84861189860
-
Predictability considerations in the design of multi-core embedded systems
-
C. Cullmann, C. Ferdinand, G. Gebhard, D. Grund, C. Maiza, J. Reineke, B. Triquet, R. Wilhelm, Predictability considerations in the design of multi-core embedded systems, in: Proceedings of Embedded Real Time Software and Systems, 2010.
-
(2010)
Proceedings of Embedded Real Time Software and Systems
-
-
Cullmann, C.1
Ferdinand, C.2
Gebhard, G.3
Grund, D.4
Maiza, C.5
Reineke, J.6
Triquet, B.7
Wilhelm, R.8
-
79
-
-
85127635672
-
Software structure and WCET predictability
-
of OASICS, Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik, Germany
-
G. Gebhard, C. Cullmann, R. Heckmann, Software structure and WCET predictability, in: Bringing Theory to Practice: Predictability and Performance in Embedded Systems, DATE Workshop PPES 2011, Vol. 18 of OASICS, Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik, Germany, 2011, pp. 1-10.
-
(2011)
Bringing Theory to Practice: Predictability and Performance in Embedded Systems, DATE Workshop PPES 2011
, vol.18
, pp. 1-10
-
-
Gebhard, G.1
Cullmann, C.2
Heckmann, R.3
-
81
-
-
84947261898
-
Reliable and precise WCET determination for a real-life processor
-
T.A. Henzinger, C.M. Kirsch, Springer
-
C. Ferdinand, R. Heckmann, M. Langenbach, F. Martin, M. Schmidt, H. Theiling, S. Thesing, and R. Wilhelm Reliable and precise WCET determination for a real-life processor T.A. Henzinger, C.M. Kirsch, EMSOFT, Vol. 2211 of Lecture Notes in Computer Science 2001 Springer 469 485
-
(2001)
EMSOFT, Vol. 2211 of Lecture Notes in Computer Science
, pp. 469-485
-
-
Ferdinand, C.1
Heckmann, R.2
Langenbach, M.3
Martin, F.4
Schmidt, M.5
Theiling, H.6
Thesing, S.7
Wilhelm, R.8
-
84
-
-
1542330092
-
An abstract interpretation-based timing validation of hard real-time avionics software
-
IEEE Computer Society
-
S. Thesing, J. Souyris, R. Heckmann, F. Randimbivololona, M. Langenbach, R. Wilhelm, and C. Ferdinand An abstract interpretation-based timing validation of hard real-time avionics software Proceedings of the 2003 International Conference on Dependable Systems and Networks (DSN 2003) 2003 IEEE Computer Society 625 632
-
(2003)
Proceedings of the 2003 International Conference on Dependable Systems and Networks (DSN 2003)
, pp. 625-632
-
-
Thesing, S.1
Souyris, J.2
Heckmann, R.3
Randimbivololona, F.4
Langenbach, M.5
Wilhelm, R.6
Ferdinand, C.7
-
85
-
-
38049122257
-
Computing the worst case execution time of an avionics program by abstract interpretation
-
J. Souyris, E. Le Pavec, G. Himbert, V. Jégu, G. Borios, R. Heckmann, Computing the worst case execution time of an avionics program by abstract interpretation, in: Proceedings of the 5th Intl Workshop on Worst-case Execution Time (WCET) Analysis, 2005, pp. 21-24.
-
(2005)
Proceedings of the 5th Intl Workshop on Worst-case Execution Time (WCET) Analysis
, pp. 21-24
-
-
Souyris, J.1
Le Pavec, E.2
Himbert, G.3
Jégu, V.4
Borios, G.5
Heckmann, R.6
-
86
-
-
78649884805
-
Timing validation of automotive software
-
Springer
-
D. Kästner, R. Wilhelm, R. Heckmann, M. Schlickling, M. Pister, M. Jersak, K. Richter, and C. Ferdinand Timing validation of automotive software 3rd International Symposium on Leveraging Applications of Formal Methods, Verification and Validation (ISOLA) 2008, Vol. 17 of Communications in Computer and Information Science (CCIS) 2008 Springer 93 107
-
(2008)
3rd International Symposium on Leveraging Applications of Formal Methods, Verification and Validation (ISOLA) 2008, Vol. 17 of Communications in Computer and Information Science (CCIS)
, pp. 93-107
-
-
Kästner, D.1
Wilhelm, R.2
Heckmann, R.3
Schlickling, M.4
Pister, M.5
Jersak, M.6
Richter, K.7
Ferdinand, C.8
-
87
-
-
84884687003
-
A modular and retargetable framework for tree-based wcet analysis
-
A. Colin, I. Puaut, A modular and retargetable framework for tree-based wcet analysis, in: Real-Time Systems, 13th Euromicro Conference on, 2001, pp. 37-44.
-
(2001)
Real-Time Systems, 13th Euromicro Conference on
, pp. 37-44
-
-
Colin, A.1
Puaut, I.2
-
88
-
-
78449302235
-
OTAWA: An open toolbox for adaptive WCET analysis (regular paper)
-
Springer
-
C. Ballabriga, H. Cassé, C. Rochange, and P. Sainrat OTAWA: an open toolbox for adaptive WCET analysis (regular paper) IFIP Workshop on Software Technologies for Future Embedded and Ubiquitous Systems (SEUS), Waidhofen/Ybbs, Austria, 13/10/2010-15/10/2010 2010 Springer 35 46
-
(2010)
IFIP Workshop on Software Technologies for Future Embedded and Ubiquitous Systems (SEUS), Waidhofen/Ybbs, Austria, 13/10/2010-15/10/2010
, pp. 35-46
-
-
Ballabriga, C.1
Cassé, H.2
Rochange, C.3
Sainrat, P.4
-
89
-
-
77952983944
-
Worst-case Execution Time Analysis for a Java Processor
-
M. Schoeberl, W. Puffitsch, R.U. Pedersen, B. Huber, Worst-case Execution Time Analysis for a Java Processor, Software: Practice and Experience 40/6, 2010, 507-542.
-
(2010)
Software: Practice and Experience
, vol.40
, Issue.6
, pp. 507-542
-
-
Schoeberl, M.1
Puffitsch, W.2
Pedersen, R.U.3
Huber, B.4
-
90
-
-
84944152454
-
-
R. Systems Whitepaper
-
R. Systems, RapiTime Explained, Whitepaper, 2013. URL
-
(2013)
RapiTime Explained
-
-
-
91
-
-
77957944500
-
WCET driven design space exploration of an object cache
-
ACM New York, NY, USA
-
B. Huber, W. Puffitsch, and M. Schoeberl WCET driven design space exploration of an object cache Proceedings of the 8th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2010) 2010 ACM New York, NY, USA 26 35
-
(2010)
Proceedings of the 8th International Workshop on Java Technologies for Real-time and Embedded Systems (JTRES 2010)
, pp. 26-35
-
-
Huber, B.1
Puffitsch, W.2
Schoeberl, M.3
-
93
-
-
84868090563
-
Compiling for time predictability
-
F. Ortmeier, P. Daniel, Lecture Notes in Computer Science Springer Berlin/ Heidelberg
-
P. Puschner, R. Kirner, B. Huber, and D. Prokesch Compiling for time predictability F. Ortmeier, P. Daniel, Computer Safety, Reliability, and Security Lecture Notes in Computer Science Vol. 7613 2012 Springer Berlin/ Heidelberg 382 391
-
(2012)
Computer Safety, Reliability, and Security
, vol.7613
, pp. 382-391
-
-
Puschner, P.1
Kirner, R.2
Huber, B.3
Prokesch, D.4
-
94
-
-
0033334995
-
Efficient and precise cache behavior prediction for real-time systems
-
C. Ferdinand, and R. Wilhelm Efficient and precise cache behavior prediction for real-time systems Real-Time Syst. 17 2-3 1999 131 181
-
(1999)
Real-Time Syst.
, vol.17
, Issue.2-3
, pp. 131-181
-
-
Ferdinand, C.1
Wilhelm, R.2
-
95
-
-
84893496365
-
Static analysis of worst-case stack cache behavior
-
ACM New York, NY, USA
-
A. Jordan, F. Brandner, and M. Schoeberl Static analysis of worst-case stack cache behavior Proceedings of the 21st International Conference on Real-Time Networks and Systems (RTNS 2013) 2013 ACM New York, NY, USA 55 64
-
(2013)
Proceedings of the 21st International Conference on Real-Time Networks and Systems (RTNS 2013)
, pp. 55-64
-
-
Jordan, A.1
Brandner, F.2
Schoeberl, M.3
-
96
-
-
77956202439
-
The AEthereal network on chip after ten years: Goals, evolution, lessons, and future
-
K. Goossens, A. Hansson, The AEthereal network on chip after ten years: Goals, evolution, lessons, and future, in: Proceedings of the 47th ACM/IEEE Design Automation Conference (DAC 2010), 2010, pp. 306-311.
-
(2010)
Proceedings of the 47th ACM/IEEE Design Automation Conference (DAC 2010)
, pp. 306-311
-
-
Goossens, K.1
Hansson, A.2
-
97
-
-
84870916948
-
Static routing in symmetric real-time network-on-chips
-
Pont a Mousson, France
-
F. Brandner, M. Schoeberl, Static routing in symmetric real-time network-on-chips, in: Proceedings of the 20th International Conference on Real-Time and Network Systems (RTNS 2012), Pont a Mousson, France, 2012, pp. 61-70.
-
(2012)
Proceedings of the 20th International Conference on Real-Time and Network Systems (RTNS 2012)
, pp. 61-70
-
-
Brandner, F.1
Schoeberl, M.2
-
98
-
-
84922496997
-
A metaheuristic scheduler for time division multiplexed networks-on-chip
-
IEEE
-
R.B. Srensen, J. Spars, M. Ruvald Pedersen, J. Hjgaard, A metaheuristic scheduler for time division multiplexed networks-on-chip, in: IEEE Workshop on Software Technologies for Future Embedded and Ubiquitous Systems (SEUS), IEEE, 2014.
-
(2014)
IEEE Workshop on Software Technologies for Future Embedded and Ubiquitous Systems (SEUS)
-
-
Srensen, R.B.1
Spars, J.2
Ruvald Pedersen, M.3
Hjgaard, J.4
-
99
-
-
70350053280
-
Aelite: A flit-synchronous network on chip with composable and predictable services
-
Leuven, Belgium
-
A. Hansson, M. Subburaman, K. Goossens, aelite: a flit-synchronous network on chip with composable and predictable services, in: Proceedings of the Conference on Design, Automation and Test in Europe (DATE 2009), Leuven, Belgium, 2009, pp. 250-255.
-
(2009)
Proceedings of the Conference on Design, Automation and Test in Europe (DATE 2009)
, pp. 250-255
-
-
Hansson, A.1
Subburaman, M.2
Goossens, K.3
-
100
-
-
84885673673
-
An area-efficient network interface for a TDM-based network-on-chip
-
DATE '13, EDA Consortium, San Jose, CA, USA
-
J. Spars, E. Kasapaki, M. Schoeberl, An area-efficient network interface for a TDM-based network-on-chip, in: Proceedings of the Conference on Design, Automation and Test in Europe, DATE '13, EDA Consortium, San Jose, CA, USA, 2013, pp. 1044-1047.
-
(2013)
Proceedings of the Conference on Design, Automation and Test in Europe
, pp. 1044-1047
-
-
Spars, J.1
Kasapaki, E.2
Schoeberl, M.3
-
103
-
-
84944174414
-
Blueshell: A platform for rapid prototyping of multiprocessor NoCs and accelerators
-
University of York
-
G. Plumbridge, J. Whitham, N. Audsley, Blueshell: a platform for rapid prototyping of multiprocessor NoCs and accelerators, in: Proceedings HEART Workshop, University of York, 2013.
-
(2013)
Proceedings HEART Workshop
-
-
Plumbridge, G.1
Whitham, J.2
Audsley, N.3
-
105
-
-
84897016715
-
Prefetching across a shared memory tree within a network-on-chip architecture
-
2013 International Symposium on
-
J. Garside, N.C. Audsley, Prefetching across a shared memory tree within a network-on-chip architecture, in: System on Chip (SoC), 2013 International Symposium on, 2013, pp. 1-4.
-
(2013)
System on Chip (SoC)
, pp. 1-4
-
-
Garside, J.1
Audsley, N.C.2
-
106
-
-
0025429331
-
Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers
-
Seattle, WA
-
N.P. Jouppi, Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers, in: Proceedings of the 17th Annual International Symposium on Computer Architecture, Seattle, WA, 1990, pp. 364-373.
-
(1990)
Proceedings of the 17th Annual International Symposium on Computer Architecture
, pp. 364-373
-
-
Jouppi, N.P.1
-
110
-
-
84862061973
-
Memory-map selection for firm real-time SDRAM controllers
-
EDA Consortium
-
S. Goossens, T. Kouters, B. Akesson, K. Goossens, Memory-map selection for firm real-time SDRAM controllers, in: Proceedings of the Conference on Design, Automation and Test in Europe, EDA Consortium, 2012, pp. 828-831.
-
(2012)
Proceedings of the Conference on Design, Automation and Test in Europe
, pp. 828-831
-
-
Goossens, S.1
Kouters, T.2
Akesson, B.3
Goossens, K.4
-
112
-
-
85116176915
-
Splitting functions into single-entry regions
-
ACM New York, NY, USA
-
S. Hepp, and F. Brandner Splitting functions into single-entry regions Proceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, CASES '14 2014 ACM New York, NY, USA 17:1 17:10
-
(2014)
Proceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, CASES '14
, pp. 171-1710
-
-
Hepp, S.1
Brandner, F.2
-
113
-
-
84905750284
-
Lazy spilling for a time-predictable stack cache: Implementation and analysis
-
H. Falk, OpenAccess Series in Informatics (OASIcs), Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik Dagstuhl Germany
-
S. Abbaspour, A. Jordan, and F. Brandner Lazy spilling for a time-predictable stack cache: implementation and analysis H. Falk, 14th International Workshop on Worst-Case Execution Time Analysis OpenAccess Series in Informatics (OASIcs), Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik Vol. 39 2014 Dagstuhl Germany 83 92
-
(2014)
14th International Workshop on Worst-Case Execution Time Analysis
, vol.39
, pp. 83-92
-
-
Abbaspour, S.1
Jordan, A.2
Brandner, F.3
-
114
-
-
84905756416
-
Towards automated generation of time-predictable code
-
H. Falk (Ed.) WCET 2014, July 8, 2014, Madrid, Spain, of OASIcs, Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik
-
D. Prokesch, B. Huber, P. Puschner, Towards automated generation of time-predictable code, in: H. Falk (Ed.), 14th International Workshop on Worst-Case Execution Time Analysis, WCET 2014, July 8, 2014, Madrid, Spain, Vol. 39 of OASIcs, Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik, 2014, pp. 103-112.
-
(2014)
14th International Workshop on Worst-Case Execution Time Analysis
, vol.39
, pp. 103-112
-
-
Prokesch, D.1
Huber, B.2
Puschner, P.3
-
115
-
-
84910041595
-
Compiler support for WCET analysis: A wish list
-
G. Bernat, N. Holsti, Compiler support for WCET analysis: a wish list, in: WCET, 2003, pp. 65-69.
-
(2003)
WCET
, pp. 65-69
-
-
Bernat, G.1
Holsti, N.2
-
116
-
-
84890461539
-
Combined WCET analysis of bitcode and machine code using control-flow relation graphs
-
The Association for Computing Machinery
-
B. Huber, D. Prokesch, P. Puschner, Combined WCET analysis of bitcode and machine code using control-flow relation graphs, in: Proceedings of the 14th ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems (LCTES 2013), The Association for Computing Machinery, 2013, pp. 163-172.
-
(2013)
Proceedings of the 14th ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems (LCTES 2013)
, pp. 163-172
-
-
Huber, B.1
Prokesch, D.2
Puschner, P.3
-
117
-
-
84912086307
-
Criticality: Static profiling for real-time programs
-
F. Brandner, S. Hepp, and A. Jordan Criticality: static profiling for real-time programs Real-Time Syst. 2013 1 34
-
(2013)
Real-Time Syst.
, pp. 1-34
-
-
Brandner, F.1
Hepp, S.2
Jordan, A.3
-
119
-
-
84936950804
-
Multicore OS Benchmark
-
European Space Agency (ESA) and Barcelona Supercomputing Center (BSC)
-
F.J. Cazorla, R. Gioiosa, M. Fernandez, E. Quiñones, Multicore OS Benchmark, Technical Report, RFQ- 3-13153/10/NL/JK, European Space Agency (ESA) and Barcelona Supercomputing Center (BSC), 2012.
-
(2012)
Technical Report, RFQ- 3-13153/10/NL/JK
-
-
Cazorla, F.J.1
Gioiosa, R.2
Fernandez, M.3
Quiñones, E.4
|