-
1
-
-
0016116644
-
Design of ion-implanted mosfet's with very small physical dimensions
-
R. Dennard, F. Gaensslen, V. Rideout, E. Bassous, and A. LeBlanc, "Design of ion-implanted mosfet's with very small physical dimensions," IEEE Journal of Solid-State Circuits, vol. 9, no. 5, pp. 256-268, 1974.
-
(1974)
IEEE Journal of Solid-State Circuits
, vol.9
, Issue.5
, pp. 256-268
-
-
Dennard, R.1
Gaensslen, F.2
Rideout, V.3
Bassous, E.4
Leblanc, A.5
-
2
-
-
77952256041
-
Conservation cores: Reducing the energy of mature computations
-
G. Venkatesh, J. Sampson, N. Goulding, S. Garcia, V. Bryksin, J. Lugo-Martinez, S. Swanson, and M. B. Taylor, "Conservation cores: Reducing the energy of mature computations," in Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2010, pp. 205-218.
-
(2010)
Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 205-218
-
-
Venkatesh, G.1
Sampson, J.2
Goulding, N.3
Garcia, S.4
Bryksin, V.5
Lugo-Martinez, J.6
Swanson, S.7
Taylor, M.B.8
-
3
-
-
80052528714
-
Dark silicon and the end of multicore scaling
-
H. Esmaeilzadeh, E. Blem, R. St.Amant, K. Sankaralingam, and D. Burger, "Dark silicon and the end of multicore scaling," in Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA), 2011, pp. 365-376.
-
(2011)
Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA)
, pp. 365-376
-
-
Esmaeilzadeh, H.1
Blem, E.2
St. Amant, R.3
Sankaralingam, K.4
Burger, D.5
-
4
-
-
67650312346
-
A mechanistic performance model for superscalar out-of-order processors
-
S. Eyerman, L. Eeckhout, T. Karkhanis, and J. E. Smith, "A Mechanistic Performance Model for Superscalar Out-of-Order Processors," ACM Transactions on Computer Systems (TOCS), vol. 27, no. 2, pp. 42-53, 2009.
-
(2009)
ACM Transactions on Computer Systems (TOCS)
, vol.27
, Issue.2
, pp. 42-53
-
-
Eyerman, S.1
Eeckhout, L.2
Karkhanis, T.3
Smith, J.E.4
-
6
-
-
34547417098
-
Efficiently exploring architectural design spaces via predictive modeling
-
E. Ipek, S. A. McKee, B. R. de Supinski, M. Schulz, and R. Caruana, "Efficiently exploring architectural design spaces via predictive modeling," in Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2006, pp. 195-206.
-
(2006)
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 195-206
-
-
Ipek, E.1
McKee, S.A.2
De Supinski, B.R.3
Schulz, M.4
Caruana, R.5
-
7
-
-
0031140923
-
Understanding some simple processor-performance limits
-
P. Emma, "Understanding some simple processor-performance limits," IBM Journal of Research and Development, vol. 41, no. 3, pp. 215-232, 1997.
-
(1997)
IBM Journal of Research and Development
, vol.41
, Issue.3
, pp. 215-232
-
-
Emma, P.1
-
9
-
-
64949144540
-
Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs
-
X. E. Chen and T. M. Aamodt, "Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs," in Proceedings of the International Symposium on Microarchitecture (MICRO), 2008, pp. 59-70.
-
(2008)
Proceedings of the International Symposium on Microarchitecture (MICRO)
, pp. 59-70
-
-
Chen, X.E.1
Aamodt, T.M.2
-
11
-
-
79957465443
-
Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware
-
S. Eyerman, K. Hoste, and L. Eeckhout, "Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware," in Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS), 2011, pp. 216-226.
-
(2011)
Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 216-226
-
-
Eyerman, S.1
Hoste, K.2
Eeckhout, L.3
-
12
-
-
77952559926
-
Interval simulation: Raising the level of abstraction in architectural simulation
-
D. Genbrugge, S. Eyerman, and L. Eeckhout, "Interval simulation: Raising the level of abstraction in architectural simulation," in Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA), 2010, pp. 307-318.
-
(2010)
Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA)
, pp. 307-318
-
-
Genbrugge, D.1
Eyerman, S.2
Eeckhout, L.3
-
13
-
-
0033719421
-
Wattch: A framework for architectural-level power analysis and optimizations
-
D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: A framework for architectural-level power analysis and optimizations," in Proceedings of the 27th Annual International Symposium on Computer Architecture (ISCA), 2000, pp. 83-94.
-
(2000)
Proceedings of the 27th Annual International Symposium on Computer Architecture (ISCA)
, pp. 83-94
-
-
Brooks, D.1
Tiwari, V.2
Martonosi, M.3
-
14
-
-
76749146060
-
McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures
-
S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen, and N. P. Jouppi, "McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures," in Proceedings of the International Symposium on Microarchitecture (MICRO), 2009, pp. 469-480.
-
(2009)
Proceedings of the International Symposium on Microarchitecture (MICRO)
, pp. 469-480
-
-
Li, S.1
Ahn, J.H.2
Strong, R.D.3
Brockman, J.B.4
Tullsen, D.M.5
Jouppi, N.P.6
-
17
-
-
84905487457
-
Aladdin: A pre-RTL, power-performance accelerator simulator enabling large design space exploration of customized architectures
-
Y. S. Shao, B. Reagen, G.-Y. Wei, and D. Brooks, "Aladdin: A pre-RTL, power-performance accelerator simulator enabling large design space exploration of customized architectures," in Proceedings of the 41st Annual International Symposium on Computer Architecture (ISCA), 2014, pp. 97-108.
-
(2014)
Proceedings of the 41st Annual International Symposium on Computer Architecture (ISCA)
, pp. 97-108
-
-
Shao, Y.S.1
Reagen, B.2
Wei, G.-Y.3
Brooks, D.4
-
19
-
-
33750834457
-
Characterizing the branch misprediction penalty
-
S. Eyerman, J. E. Smith, and L. Eeckhout, "Characterizing the branch misprediction penalty," in Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS), 2006, pp. 48-58.
-
(2006)
Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS)
, pp. 48-58
-
-
Eyerman, S.1
Smith, J.E.2
Eeckhout, L.3
-
23
-
-
84863455339
-
Phase guided profiling for fast cache modeling
-
A. Sembrant, D. Black-Schaffer, and E. Hagersten, "Phase guided profiling for fast cache modeling," in Proceedings of the Tenth International Symposium on Code Generation and Optimization (CGO), 2012, pp. 175-185.
-
(2012)
Proceedings of the Tenth International Symposium on Code Generation and Optimization (CGO)
, pp. 175-185
-
-
Sembrant, A.1
Black-Schaffer, D.2
Hagersten, E.3
-
24
-
-
33745304805
-
Pin: Building customized program analysis tools with dynamic instrumentation
-
C.-K. Luk, R. Cohn, R. Muth, H. Patil, A. Klauser, G. Lowney, S. Wallace, V. J. Reddi, and K. Hazelwood, "Pin: Building customized program analysis tools with dynamic instrumentation," in Proceedings of the ACM SIGPLAN Conference on Programming Languages Design and Implementation (PLDI), 2005, pp. 190-200.
-
(2005)
Proceedings of the ACM SIGPLAN Conference on Programming Languages Design and Implementation (PLDI)
, pp. 190-200
-
-
Luk, C.-K.1
Cohn, R.2
Muth, R.3
Patil, H.4
Klauser, A.5
Lowney, G.6
Wallace, S.7
Reddi, V.J.8
Hazelwood, K.9
-
25
-
-
84910130274
-
An evaluation of high-level mechanistic core models
-
T. E. Carlson, W. Heirman, S. Eyerman, I. Hur, and L. Eeckhout, "An evaluation of high-level mechanistic core models," ACM Trans. Archit. Code Optim., vol. 11, no. 3, pp. 28:1-28:25, 2014.
-
(2014)
ACM Trans. Archit. Code Optim.
, vol.11
, Issue.3
, pp. 281-2825
-
-
Carlson, T.E.1
Heirman, W.2
Eyerman, S.3
Hur, I.4
Eeckhout, L.5
-
26
-
-
0036953769
-
Automatically characterizing large scale program behavior
-
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder, "Automatically characterizing large scale program behavior," in Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 2002, pp. 45-57.
-
(2002)
Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS)
, pp. 45-57
-
-
Sherwood, T.1
Perelman, E.2
Hamerly, G.3
Calder, B.4
-
27
-
-
77954001423
-
Pinplay: A framework for deterministic replay and reproducible analysis of parallel programs
-
H. Patil, C. Pereira, M. Stallcup, G. Lueck, and J. Cownie, "Pinplay: a framework for deterministic replay and reproducible analysis of parallel programs," in Proceedings of the 8th annual international symposium on Code Generation and Optimization (CGO), 2010, pp. 2-11.
-
(2010)
Proceedings of the 8th Annual International Symposium on Code Generation and Optimization (CGO)
, pp. 2-11
-
-
Patil, H.1
Pereira, C.2
Stallcup, M.3
Lueck, G.4
Cownie, J.5
|